Добірка наукової літератури з теми "Wireline communication"

Оформте джерело за APA, MLA, Chicago, Harvard та іншими стилями

Оберіть тип джерела:

Ознайомтеся зі списками актуальних статей, книг, дисертацій, тез та інших наукових джерел на тему "Wireline communication".

Біля кожної праці в переліку літератури доступна кнопка «Додати до бібліографії». Скористайтеся нею – і ми автоматично оформимо бібліографічне посилання на обрану працю в потрібному вам стилі цитування: APA, MLA, «Гарвард», «Чикаго», «Ванкувер» тощо.

Також ви можете завантажити повний текст наукової публікації у форматі «.pdf» та прочитати онлайн анотацію до роботи, якщо відповідні параметри наявні в метаданих.

Статті в журналах з теми "Wireline communication"

1

Saxena, Saurabh. "Wireline communication: the backbone of data transfer." CSI Transactions on ICT 8, no. 2 (June 2020): 147–56. http://dx.doi.org/10.1007/s40012-020-00297-1.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
2

Gomez Diaz, Julian Camilo, Haotian Zhao, Yuanming Zhu, Samuel Palermo, and Sebastian Hoyos. "Recurrent Neural Network Equalization for Wireline Communication Systems." IEEE Transactions on Circuits and Systems II: Express Briefs 69, no. 4 (April 2022): 2116–20. http://dx.doi.org/10.1109/tcsii.2022.3152051.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
3

Singh, Saumya. "Analysis of Signal Processing for Gigabit Rate Wireline Communication." International Journal for Research in Applied Science and Engineering Technology 9, no. VI (June 15, 2021): 1181–84. http://dx.doi.org/10.22214/ijraset.2021.35165.

Повний текст джерела
Анотація:
In this paper we mainly focus on achieving higher speed data which is about 1Gb/s over short copper loops using G.Fast technology. The use of TDD and higher bandwidth are two key features in G.Fast. Short twisted pair cable models are used to operate at relatively wider bandwidth. At higher frequencies, the problem of FEXT crosstalk becomes dominant. So to overcome these issues we are using different crosstalk cancellation techniques using various cables which provide different data rates at different frequencies and distances. Performance analysis of various crosstalk cancelation techniques has been done in this paper.
Стилі APA, Harvard, Vancouver, ISO та ін.
4

Rajeswari, S., Yarlagadda Srinivasulu, and S. Thiyagarajan. "Relationship among Service Quality, Customer Satisfaction and Customer Loyalty: With Special Reference to Wireline Telecom Sector (DSL Service)." Global Business Review 18, no. 4 (May 9, 2017): 1041–58. http://dx.doi.org/10.1177/0972150917692405.

Повний текст джерела
Анотація:
The last few decades have witnessed a greater transition in the communication sector due to the unpre- cedented innovations in technology. On the one hand, the emergence of broadband has rejuvenated and strengthened the wireline sector by making use of the existing wireline infrastructure. On the other hand, it has created an intense competition among the service providers. The competition has propelled the service providers to look beyond the idea of providing service and has forced them to consider other factors like establishing customer loyalty which stems out of customer satisfaction and service quality. Thus, the study aims to analyze the interrelationship among the above-mentioned factors with respect to digital subscriber line (DSL) service offered by the incumbent wireline operator in Puducherry. The study has found that customer satisfaction plays an intervening role between service quality and customer loyalty.
Стилі APA, Harvard, Vancouver, ISO та ін.
5

Zhang, Yi Fan, Joshua Liang, Shayan Shahramian, Behzad Dehlaghi, Ryan Bespalko, Michael O'Farrel, Dustin Dunwell, Davide Tonietto, and Anthony Chan Carusone. "Secondary Side-Channel Wireline Communication Using Transmitter Clock Frequency Modulation." IEEE Solid-State Circuits Letters 3 (2020): 25–28. http://dx.doi.org/10.1109/lssc.2019.2959779.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
6

Salinas, Jhoan, Jeremy Cosson-Martin, Miad Laghaei, Hossein Shakiba, and Ali Sheikholeslami. "Performance Comparison of Baseband Signaling and Discrete Multi-Tone for Wireline Communication." IEEE Open Journal of Circuits and Systems 2 (2021): 65–77. http://dx.doi.org/10.1109/ojcas.2020.3041239.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
7

Salinas, Jhoan, Jeremy Cosson-Martin, Miad Laghaei, Hossein Shakiba, and Ali Sheikholeslami. "Performance Comparison of Baseband Signaling and Discrete Multi-Tone for Wireline Communication." IEEE Open Journal of Circuits and Systems 2 (2021): 65–77. http://dx.doi.org/10.1109/ojcas.2020.3041239.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
8

Molohkov, Dmitry, Andy Pietsch, Jack Harfoushian, and Shahid Azizul Haq. "Application of formation pressure while drilling in Australia: North West Shelf examples." APPEA Journal 49, no. 2 (2009): 568. http://dx.doi.org/10.1071/aj08041.

Повний текст джерела
Анотація:
Since its introduction in the 1950s, the point-by-point wireline formation pressure measurement technique has been successfully used for formation evaluation and reservoir management, and has been an essential input into reservoir models. In exploration and appraisal wells, where depletion has not yet affected the reservoir, vertical pressure profiling can be used for fluid gradient determination that may be interpreted in terms of fluid densities and contacts. In a dynamic producing environment pressure measurements can help to identify vertical and horizontal boundaries and communication. Measurement of pressure distribution along the borehole was historically done with wireline instruments or, in difficult logging conditions, with wireline instruments conveyed by drill pipe. In some environments, especially in highly deviated and S-shaped wells or unconsolidated formations, drill pipe conveyance of wireline formation pressure testers introduces significant operational risk. Formation Pressure While Drilling (FPWD), introduced in 2003, offers a new cost-effective solution for gathering formation pressure data. FPWD is a new method to directly measure formation pore pressure as the well is being drilled, extending its application beyond traditional fluid typing, contacts and compartmentalisation determination to well control and drilling optimisation. The market for FPWD is developing rapidly with all major service companies providing their own implementations of the technology. The next step in the evolution of FPWD technology—sampling while drilling—is not commercially available yet, but this is just a matter of time. The case history presented in this extended abstract is an example of the application and lessons learned from the FPWD service used in one of the oil fields on the North West Shelf, Australia.
Стилі APA, Harvard, Vancouver, ISO та ін.
9

De Wit, Maxime, Simon Ooms, Bart Philippe, Yang Zhang, and Patrick Reynaert. "Polymer Microwave Fibers: A New Approach That Blends Wireline, Optical, and Wireless Communication." IEEE Microwave Magazine 21, no. 1 (January 2020): 51–66. http://dx.doi.org/10.1109/mmm.2019.2945158.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
10

Dalmia, Hemlata, and Sanjeet K. Sinha. "Analog to Digital Converters (ADC): A Literature Review." E3S Web of Conferences 184 (2020): 01025. http://dx.doi.org/10.1051/e3sconf/202018401025.

Повний текст джерела
Анотація:
The signal processing is advancing day by day as its needs and in wireline/wireless communication technology from 2G to 4G cellular communication technology with CMOS scaling process. In this context the high-performance ADCs, analog to digital converters have snatched the attention in the field of digital signal processing. The primary emphasis is on low power approaches to circuits, algorithms and architectures that apply to wireless systems. Different techniques are used for reducing power consumption by using low power supply, reduced threshold voltage, scaling of transistors, etc. In this paper, we have discussed the different types and different techniques used for analog to digital conversion of signals considering several parameters.
Стилі APA, Harvard, Vancouver, ISO та ін.

Дисертації з теми "Wireline communication"

1

Nilsson, Rickard. "Digital communication in wireline and wireless environments." Licentiate thesis, Luleå tekniska universitet, Signaler och system, 1999. http://urn.kb.se/resolve?urn=urn:nbn:se:ltu:diva-17330.

Повний текст джерела
Анотація:
This thesis consists of an introduction and five parts dealing with digital communication. Three parts address Discrete Multi-Tone modulation (DMT), a wireline form of Orthogonal Frequency Division Multiplexing (OFDM). One part addresses channel estimation in wireless OFDM and one addresses multiuser detection in wireless Direct Sequence-Code Division Multiple Access (DS- CDMA).The first part presents a new duplex method, called Zipper, for Very High bit rate Digital Subscriber Lines (VDSL). It is a duplex method that offer high flexibility and compatibility with existing services in the access network. The second part presents a digital Radio Frequency Interference (RFI) suppression method. It is located in the frequency domain and it can be used by any DMT-based VDSL system. The third part presents a method to run Zipper in an asynchronous mode with only a small performance loss. This can be of interest for telecom operators when constructing a VDSL system. The fourth part examines the trade-off between pilot symbol spacing and symbol error rate in a wireless OFDM system using Pilot Symbol Assisted Modulation (PSAM). The last part presents a low complexity multiuser detector for wireless DS-CDMA. It consists of a pipelined detector structure that produces Maximum Likelihood Secence Detector (MLSD) decisions on some of the received bits in the sequence. The remaining, previously undetected bits, are fed to a secondary post-processor.
Godkänd; 1999; 20070404 (ysko)
Стилі APA, Harvard, Vancouver, ISO та ін.
2

Huang, Deping. "Design Techniques for Timing Circuits in Wireline and Wireless Communication Systems." Diss., The University of Arizona, 2014. http://hdl.handle.net/10150/344107.

Повний текст джерела
Анотація:
Clock and data recovery (CDR) circuit and frequency synthesizer are two essential timing circuits in wireline and wireless communication systems, respectively. With multigigabits/s high speed links and emerging 4G wireless system widely used in communication backbone infrastructures and consumer electronic devices, effective design of CDR and frequency synthesizer has become more and more important. The advanced scaled-down CMOS process has the limitations of leakage current, low supply voltage and process variation which pose great challenge to the analog circuit design. To overcome these issues, a digital intensive CDR solution is needed. Besides, it is desirable for the CDR to cover a wide range of data-rate and to be reference-less for improved flexibility. As for the frequency synthesizer design, the support for multi-standard to reduce the cost and area is desirable. In this work, a digital reference-less CDR is proposed to support continuous datarate ranging from 1 Gbps to 16 Gbps. The CDR adopts an 8 GHz~16 GHz DCO to achieve low random noise performance. A reference-less digital frequency locking loop is included in the system as the acquisition assistance for the CDR loop. To address the difficulty of jitter and stability evaluations for bang-band CDR, a Simulink model is developed to find out the jitter transfer (JTRAN), jitter generation (JGEN) and jitter tolerance (JTOL) performances for the CDR. The prototype CDR is implemented in a 65 nm CMOS process. The core area is 0.68 mm². At 16 Gbps, the CDR consumes a power of 92.5 mW and is able to tolerate a sinusoidal jitter with an amplitude of 0.4 UI and a frequency of 4 MHz. The second part of this dissertation develops a frequency synthesizer for multistandard wireless receivers. The frequency synthesizer is based on an analog fractional-N PLL. Optimally-coupled quadrature voltage-controlled-oscillator (QVCO), dividers and harmonic rejection single sideband mixer (HR-SSBmixer) are combined to synthesize the desired frequency range without posing much phase noise penalty on the QVCO. The QVCO adopts a new phase-shift scheme to improve phase noise and to eliminate bimodal oscillation. Combining harmonic rejection and single sideband mixing, the HR-SSBmixer is developed to suppress spurious signals. Designed in a 0.13-μm CMOS technology, the synthesizer occupies an active area of 1.86 mm² and consumes 35.6 to 52.62 mW of power. Measurement results show that the synthesizer frequency range, the phase noise, the settling time and the spur performances meet the specifications of the wireless receivers for the above standards. For a wide range frequency synthesizer, an automatic frequency calibration circuit (AFC) is needed to select proper oscillator tuning curve before the PLL settling. An improved counter-based AFC is proposed in this dissertation that provides a more robust and faster tuning curve searching process. The proposed AFC adopts a time-to-digital converter (TDC), which is able to captures the fractional VCO cycle information within the counting window, to improve the AFC frequency detection accuracy. The TDC-based AFC is designed in a 0.13-μm CMOS technology. Simulation results show that the TDCbased AFC greatly improves the frequency detection accuracy and consequently for a given frequency detection resolution reduces the AFC calibration time.
Стилі APA, Harvard, Vancouver, ISO та ін.
3

Lee, Sanghoon. "Foveated video compression and visual communications over wireless and wireline networks /." Digital version accessible at:, 2000. http://wwwlib.umi.com/cr/utexas/main.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
4

Khodayari, Moez Kambiz. "Design of CMOS Distributed Amplifiers for Broadband Wireline and Wireless Communication Applications." Thesis, University of Waterloo, 2006. http://hdl.handle.net/10012/2857.

Повний текст джерела
Анотація:
While the RF building blocks of narrowband system-on-chip designs have increasingly been created in CMOS during the past decade, researchers have started to look at the possibility of implementation of broadband transceivers in CMOS technology. High speed optical links with operating frequencies of up to 40 GHz and ultra wideband (UWB) wireless systems operating in 3 to 10 GHz frequency band are examples of these broadband applications. CMOS offers a low fabrication cost, and a higher level of integration compared with compound semiconductor technologies that currently claim broadband RFIC applications.

In this work, we focus on the design of broadband low-noise amplifiers: the fundamental building blocks of high data rate wireline and wireless telecommunication systems. A well established microwave engineering technique -distributed amplification- with a potential bandwidth up to the cut-off frequency of transistors is employed. However, the implementation of distributed amplifiers in CMOS imposes new challenges, such as gain attenuation because of substrate loss of on-chip inductors, a typical large die area, and a large noise-figure. These problems have been addressed in this dissertation as described below.

On-chip inductors, the essential components of the distributed amplifiers' gate and drain transmission lines, dissipate more and more power in silicon substrates as well as in metal lines as frequency increases, which in turn reduces the gain and deteriorates the input/output matching. Using active negative resistors implemented by a capacitively source degenerated configuration, we have fully compensated the loss of the transmission lines in order to achieve a flat gain of 10 dB over the entire DC-to-44 GHz bandwidth.

We have addressed another drawback of distributed amplifiers, large die area, by utilizing closely-placed RF transmission lines instead of spiral inductors. Because of a more compact implementation of transmission lines, the area of the distributed amplifiers is considerably reduced at the expense of extra design steps required for the modeling of the closely-placed RF transmission lines. A post-layout simulation method is developed to take into account the effect of inductive and capacitive coupling by incorporating a 3D EM simulator into the design process. A 9-dB 27-GHz distributed amplifier has been fabricated in an area as small as 0. 17 mm2 using 180nm TSMC's CMOS process.

For wireless applications (UWB), a very low-noise figure is required for the broadband preamplifier. Conventional distributed amplifiers fail to provide a low noise figure mainly because of the noise injected by the terminating resistor of the gate transmission lines. We have replaced the terminating resistor with a frequency-dependent resistor which trades off the low frequency input matching of the distributed amplifier (not required for UWB) with a better noise performance. Our proposed design provides a gain of 12 dB with an average noise figure of 3. 4 dB over the entire 3-10 GHz band, advancing the state-of-the-art implementation of broadband LNAs.
Стилі APA, Harvard, Vancouver, ISO та ін.
5

Stevens, Irena. "Policy implications of municipal investment in Georgia's wireline broadband networks." Thesis, Georgia Institute of Technology, 2013. http://hdl.handle.net/1853/49081.

Повний текст джерела
Анотація:
The Community Broadband Investment Act, introduced in the Georgia legislature in 2012 and 2013 is a reflection of a broader national debate over the role of government investment in broadband infrastructure. The bill would limit local governments' ability to invest in broadband infrastructure for their communities because of arguments that government entry into the telecommunications sector crowds-out private competition, does not serve the public adequately, and lacks a comprehensive business model or best practices. A closer look at the history of utility regulation and various economic perspectives on the proper government role in utility provision reveals that government has had an extensive historical role in utility infrastructure investment and regulation, and several economic doctrines support the conclusion that government can be helpful in facilitating effective broadband service to their communities. Case studies of different models of municipal broadband networks in Georgia reveal that government entry can facilitate private sector competition, often provides quality service, and has a set of best practices. The success of municipal broadband reveals an evolution in the approach to telecommunications regulation from a regulated monopoly approach to a public-private cooperation approach which considers public participation with private entities on a dynamic scale. Government-entry into the broadband market was a rational decision for several Georgia communities due to their unique set of circumstances, and while municipal broadband may not be the answer to many communities' problems with meeting public demand, communities should be allowed to maintain flexibility in their decision-making about how to best serve their residents, effectively allowing them to decide which combination of public and private advantages they can leverage to meet the demand of their communities in relation to their unique local characteristics.
Стилі APA, Harvard, Vancouver, ISO та ін.
6

Shankar, Subramaniam. "High-speed, high-performance wireless and wireline applications using silicon-germanium BiCMOS technologies." Diss., Georgia Institute of Technology, 2013. http://hdl.handle.net/1853/48958.

Повний текст джерела
Анотація:
The objective of the research in this dissertation is to demonstrate the viability of using silicon-germanium (SiGe) bipolar/complementary metal-oxide semiconductor (BiCMOS) technologies in novel high-speed, high-performance wireless and wireline applications. These applications include self-healing integrated systems, W-Band phased array radar systems, and multi-gigabit wireline transceiver systems. The contributions from this research are summarized below: 1. Design of a wideband 8-18 GHz signal source with the best reported tuning range and die area combination for self-healing applications [95]. 2. Design of a robust, multi-band 8-10/ 16-20 GHz signal source with amplitude-locking for self-healing applications. A figure-of-merit (FoM) is proposed that combines tuning range and die area, and this work achieves the best FoM compared with state-of-the art [51]. 3. First ever reported on-die healing of image-rejection ratio of an 8-18 GHz mixer integrated with the multi-band test signal source [52], [96]. 4. Design of a 94 GHz differential Colpitts oscillator with 14% tuning range that spans 86-99 GHz for phased-array radar systems. 5. Identification of technology platform related bottlenecks in multi-gigabit wireline systems. A novel study of linearity of switching transistors in a current-mode logic (CML) gate. 6. A novel FoM that can be used to predict large-signal CML delay using small-signal Y-parameter techniques [97].
Стилі APA, Harvard, Vancouver, ISO та ін.
7

Oliveira, Thiago Rodrigues. "The characterization of hybrid PLC-wireless and PLC channels in the frequency band between 1.7 and 100 MHz for data communication." Universidade Federal de Juiz de Fora, 2015. https://repositorio.ufjf.br/jspui/handle/ufjf/940.

Повний текст джерела
Анотація:
Submitted by Renata Lopes (renatasil82@gmail.com) on 2016-02-26T15:34:03Z No. of bitstreams: 1 thiagorodriguesoliveira.pdf: 11824049 bytes, checksum: ab8b7db8e1cc38ac7c875396e2379120 (MD5)
Rejected by Adriana Oliveira (adriana.oliveira@ufjf.edu.br), reason: Consertar sobrenome orientador on 2016-03-03T14:07:28Z (GMT)
Submitted by Renata Lopes (renatasil82@gmail.com) on 2016-03-03T14:41:56Z No. of bitstreams: 1 thiagorodriguesoliveira.pdf: 11824049 bytes, checksum: ab8b7db8e1cc38ac7c875396e2379120 (MD5)
Approved for entry into archive by Adriana Oliveira (adriana.oliveira@ufjf.edu.br) on 2016-04-24T01:46:56Z (GMT) No. of bitstreams: 1 thiagorodriguesoliveira.pdf: 11824049 bytes, checksum: ab8b7db8e1cc38ac7c875396e2379120 (MD5)
Made available in DSpace on 2016-04-24T01:46:56Z (GMT). No. of bitstreams: 1 thiagorodriguesoliveira.pdf: 11824049 bytes, checksum: ab8b7db8e1cc38ac7c875396e2379120 (MD5) Previous issue date: 2015-03-24
Essa tese de doutorado apresenta, inicialmente, uma metodologia a ser empregada para a caracterização de redes de energia elétrica para fins de comunicação de dados. Esta metodologia engloba todos os procedimentos e ferramentas de processamento de sinais necessárias para a estimação de características importantes para a avaliação de canais de comunicação de dados. Em seguida, são apresentados resultados da aplicação de tal metodologia em dados provenientes de uma campanha de medição realizada em ambientes internos em residências brasileiras. Algumas características importantes desses canais, tais como ganho médio, banda de coerência, tempo de coerência, o valor quadrático médio do espalhamento de atraso, capacidade do canal e densidade espectral de potência do ruído, são analizadas considerando três bandas de frequência: de 1,7 até 30 MHz, de 1,7 até 50 MHz e de 1,7 até 100 MHz. Comparando os resultados de canais power line communication (PLC) em ambientes residenciais brasileiros com aqueles medidos em outros países, tais como Espanha, Estados Unidos, França e Itália, podemos notar que canais PLC brasileiros apresentam, em geral, menores atenuações, são menos seletivos em frequência e possuem menores espalhamentos de atraso. Por fim, um novo meio de comunicação baseada nas tecnologias PLC e sem fio é apresentada e definida como híbrido PLC-sem fio o qual permite a comunicação física e à distância com a rede de energia elétrica para fins de comunicação de dados. Tal canal de comunicação é avaliado em residências brasileiras e importantes características são extraídas e discutidas. Embora o canal híbrido PLC-sem fio tenha se mostrado mais adverso que o canal PLC para a comunicação de dados, a introdução da mobilidade, de uma forma que é impossível de se obter em sistemas puramente PLC, constitui sua principal vantagem. Essa mobilidade é um importante atrativo que coloca sistemas híbridos em uma posição privilegiada dentre os candidatos para compor a infraestrutura de telecomunicações em redes inteligentes (smart grids), ou para ser usada como uma ferramenta para promover a inclusão digital da população carente de países pobres ou em desenvolvimento.
This work outlines initially a methodology to be applied to the characterization of electric power grids for data communication purposes. This methodology englobes all the procedures and required signal processing tools for a reliable estimation of features that allow the suitability of a media for data communication. Next, PLC (power line communication) channel results provided by the use of such methodology in a data set obtained from a measurement campaing in in-home Brazilian places are presented. The analyzed channel features are the average channel gain, the coherence bandwidth, the coherence time, the root mean squared delay spread, the channel capacity and the noise power spectral density by considering the following frequency bands: from 1.7 up to 30 MHz, from 1.7 up to 50 MHz and from 1.7 up to 100 MHz. Comparisons among the results for in-home Brazilian PLC channels with other provided for other countries such as Spain, United States, France and Italy showed that, in general, in-home Brazilian PLC channels present smaller attenuation, are less frequency selective and showed smaller delay spread than these countries. Finally, a new medium to provide data communication is presented and defined as hybrid PLC-wireless, in which PLC and wireless technologies are combined. Such novel communication channel is characterized in in-home Brazilian places and important channel features are estimated and discussed. Though the hybrid PLC-wireless channel has been shown more adverse than the PLC channel, the introduction of mobility is its main advantage, something that is impossible in traditional PLC technologies. Thus, this mobility is an important issue that puts hybrid PLC-wireless technologies in a privileged position among the candidates to form the communication infrastructure for smart grids, or to be used as a too to solve the digital divide problem that is more accentuated in poor and in developing countries.
Стилі APA, Harvard, Vancouver, ISO та ін.
8

Lauder, David Maxwell. "Electromagnetic compatibility in wireline communications." Thesis, University of Hertfordshire, 2007. http://hdl.handle.net/2299/16518.

Повний текст джерела
Анотація:
This document is a thesis submitted in partial fulfilment of the requirements of the University of Hertfordshire for the degree of Doctor of Philosophy (Part Time) in 'EMC in Wire-line Communications' in the School of Electronic, Communication and Electrical Engineering at the University of Hertfordshire. It describes a programme of research into the modelling and measurement of radio frequency interference emissions from various communication networks including Power Line (Tele)communications (PLC/PLT) and Digital Subscriber Line (DSL). An introduction and literature review are followed by the results of practical measurements on installed networks. These measurements include antenna gain and Longitudinal Conversion Loss (LCL). Power line communication networks, splitterless DSL and home phoneline networks in buildings are studied and modelled and the models are compared with the measured results. Improved EMC test methods are also described, in particular the modelling and design of four types of portable antennas for use in radiated EMC measurements with improved sensitivity at frequencies up to 30 MHz. The first type is a set of three manually tuned loop antennas covering 100 kHz - 30 MHz. The second is a set of three loop antennas that cover a similar frequency range but with remote tuning via an optical fibre link, under the control of software which also controls an EMC measuring receiver. The third type is a larger (1.6 m diameter) tuned loop covering 1.75 - 10 MHz that allows the measuring system noise floor to be below the typical atmospheric noise floor. The fourth type is an electrically short dipole covering 10 - 30 MHz with improved matching. The protection requirements for various types of radio communication services are analysed and are compared with emission levels from various types of wireline communication network. A review of existing applicable EMC standards and standards under development is also presented.
Стилі APA, Harvard, Vancouver, ISO та ін.
9

Hossain, Masum. "Low-power Multi-Gb/s Wireline Communication." Thesis, 2011. http://hdl.handle.net/1807/29925.

Повний текст джерела
Анотація:
This thesis discusses low-power wireline receivers with particular focus on clocking circuitry and architectures. These clocking solutions can be used for a 1-D partial response channel as well as for a conventional DC coupled channel. The receiver front end for a 1-D channel requires more consideration to recover an NRZ signal from the received narrow pulses. Two possible solutions are presented. First, a full-rate detection technique is presented, where the speed is limited by the settling time of a latch circuit which has to be less than 1 UI. Second, a novel demuxing technique is introduced. It is demonstrated through theory, simulation and measurement results that the half-rate architecture can improve maximum achievable speed by a factor of 1.6. The distribution and alignment of high-frequency clocks across a wide bus of links is a signi¯cant challenge in modern computing systems. A low power clock source is demonstrated by incorporating a bu®er into a cross-coupled oscillator. Because the load is isolated from the tank, the oscillator can directly drive 50-Ohm impedances or large capacitive loads with no additional bu®ering. Using this topology, a quadrature VCO (QVCO) is implemented in 0.13 um digital CMOS. The QVCO oscillates at 20 GHz, consumes 20 mW and provides 12% tuning range. Injection locked oscillators (ILOs) are an attractive clocking tool for low-power area- e±cient wireline receivers. In this work, we explored their use as a clock deskew element, a clock recovery unit and a programmable jitter lter. A study of both LC and ring ILOs indicates signi¯cant variation in their jitter tracking bandwidth when used to provide large phase shifts. By selectively injecting di®erent phases of a quadrature-LC or ring VCO, this problem is obviated resulting in reduced phase noise. First, an ILO based half-rate clock recovery technique is presented, which can be used for AC coupled links where low frequency signal components are attenuated by the channel. The nonlinear path comprises a hysteresis latch that recovers the missing low frequency content and a linear path that boosts the high frequency component by taking advantage of the high pass channel response. By optimally combining them, the front-end recovers NRZ signals up to 13 Gb/s burning only 26 mW in 90 nm CMOS. A simple theory and simulation technique for ILO-based receivers is discussed. The clock recovery technique is veried with experimental results at 5-10 Gb/s in 90 nm CMOS consuming 70 mW and acquiring lock within 1.5 ns. Second, a clock forwarded 65nm CMOS receiver uses two ILOs to frequency- multiply, deskew, and track correlated jitter on a pulsed clock forwarded from the transmitter. Di®erent data rates and latency mismatch between the clock and data paths are ac- commodated by a jitter tracking bandwidth that is controllable up to 300MHz. Each receiver consumes 0.92 pJ/bit operating at 7.4 Gb/s and has a jitter tolerance of 1.5 UI at 200MHz.
Стилі APA, Harvard, Vancouver, ISO та ін.
10

Dou, Qingqi. "I/O test methods in high-speed wireline communication systems." 2008. http://hdl.handle.net/2152/18345.

Повний текст джерела
Анотація:
The advent of serial tera-bit telecommunication and multi-gigahertz I/O interfaces is posing challenges on the semiconductor and ATE industries. There is a gap in signal integrity testing between what has been specified in serial link standards and what can be practically tested in production. A thorough characterization and a more cost-effective test of the signal integrity, such as BER, jitter, and eye margin, are critical to identify and isolate the root cause of the system degradation and to the binning in production. In this dissertation, measurement and testing schemes on signal integrity are explored. A solution for diagnosing jitter and predicting the range of consequent BER is proposed. This solution is applicable to decomposition of correlated and uncorrelated jitter in both clock and data signals. The statistical information of jitter is estimated using TLC functions. TLC treats jitter in its original form, as a time series, resulting in good accuracy in the decomposition. Hardware results in a PLL indicate that the approach is still valid when the traditional histogram-based method fails. This approach can be implemented using only one-shot capture instead of multiple captures to average out the uncorrelated jitter from the correlated jitter. Therefore, the TLC functions enable test time reduction in jitter decomposition compared to traditional averaging methods. Hardware measurements on stressed data signals are presented to validate the proposed technique. We have also explored low cost, high bandwidth techniques using Built In Self Test(BIST) for on-chip jitter measurement. Undersampling provides a lowcost test solution for on-chip jitter measurement. However, it suffers from sampling clock phase error and time quantization noise. These timing uncertainties on the test accuracy of the traditional technique using a single channel structure can be alleviated by extracting the correlation between two channels using a single reference clock. Simulation results indicate that the proposed approach can achieve a better measurement accuracy and a higher degree of tolerance to sampling clock uncertainty and quantization error than does the single-channel structure, with little additional test overhead. TIADCs provide an attractive solution to the realization of analog front ends in high speed communication systems,such as 10GBASE-T and 10GBASEFiber. However, gain mismatch, offset mismatch, and sampling time mismatch between time-interleaved channels limit the performance of TIADCs. A low-cost test scheme is developed to measure timing mismatch using an undersampling clock. This method is applicable to an arbitrary number of channels, achieving picosecond resolution with low power consumption. Simulation results and hardware measurements on a 10GSps TIADC are presented to validate the proposed technique.
text
Стилі APA, Harvard, Vancouver, ISO та ін.

Книги з теми "Wireline communication"

1

Grinberg, Arkady. Seamless networks: Interoperating wireless and wireline networks. New York: McGraw-Hill, 1997.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
2

Converging MGN wireline and mobile 3G networks with IMS. Boca Raton: Auerbach, 2008.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
3

State of wireline communications: Hearing before the Subcommittee on Communications, Technology, and the Internet of the Committee on Commerce, Science, and Transportation, United States Senate, One Hundred Thirteenth Congress, first session, July 25, 2013. Washington: U.S. Government Printing Office, 2014.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
4

Casier, Herman. Analog Circuit Design: Sensors, Actuators and Power Drivers; Integrated Power Amplifiers from Wireline to RF; Very High Frequency Front Ends. Dordrecht: Springer Science + Business Media B.V, 2008.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
5

United, States Congress Senate Committee on Commerce Science and Transportation Subcommittee on Communications. The nation's wireline and wireless communications infrastructure in light of September 11th: Hearing before the Subcommittee on Communications of the Committee on Commerce, Science, and Transportation, United States Senate, One Hundred Seventh Congress, second session, March 6, 2002. Washington: U.S. G.P.O., 2005.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
6

United, States Congress Senate Committee on Commerce Science and Transportation Subcommittee on Communications. Rural cellular non-wireline licensing: Hearing before the Subcommittee on Communications of the Committee on Commerce, Science, and Transportation, United States Senate, One Hundredth Congress, second session ... January 27, 1988. Washington: U.S. G.P.O., 1988.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
7

United States. Congress. Senate. Committee on Commerce, Science, and Transportation. Subcommittee on Communications. Rural cellular non-wireline licensing: Hearing before the Subcommittee on Communications of the Committee on Commerce, Science, and Transportation, United States Senate, One Hundredth Congress, second session ... January 27, 1988. Washington: U.S. G.P.O., 1988.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
8

United States. Congress. Senate. Committee on Commerce, Science, and Transportation. Subcommittee on Communications. Rural cellular non-wireline licensing: Hearing before the Subcommittee on Communications of the Committee on Commerce, Science, and Transportation, United States Senate, One Hundredth Congress, second session ... January 27, 1988. Washington: U.S. G.P.O., 1988.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
9

United States. Congress. Senate. Committee on Commerce, Science, and Transportation. Subcommittee on Communications. Rural cellular non-wireline licensing: Hearing before the Subcommittee on Communications of the Committee on Commerce, Science, and Transportation, United States Senate, One Hundredth Congress, second session ... January 27, 1988. Washington: U.S. G.P.O., 1988.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
10

United States. Congress. Senate. Committee on Commerce, Science, and Transportation. Subcommittee on Communications. Rural cellular non-wireline licensing: Hearing before the Subcommittee on Communications of the Committee on Commerce, Science, and Transportation, United States Senate, One Hundredth Congress, second session ... January 27, 1988. Washington: U.S. G.P.O., 1988.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.

Частини книг з теми "Wireline communication"

1

Henkel, W., M. Mayrock, H. Haunstein, O. Graur, T. Lotz, W. Sauer-Greff, and R. Urbansky. "OFDM/DMT for Wireline Communications." In Signals and Communication Technology, 215–49. Berlin, Heidelberg: Springer Berlin Heidelberg, 2011. http://dx.doi.org/10.1007/978-3-642-17496-4_6.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
2

Geary, Kevin, James Hudner, Declan Carey, Ronan Casey, Kay Hearne, Marc Erett, Chi Fung Poon, et al. "ADC-Based SerDes Receiver for 112 Gb/s PAM4 Wireline Communication." In Analog Circuits for Machine Learning, Current/Voltage/Temperature Sensors, and High-speed Communication, 269–81. Cham: Springer International Publishing, 2022. http://dx.doi.org/10.1007/978-3-030-91741-8_15.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
3

Weik, Martin H. "wireline communications." In Computer Science and Communications Dictionary, 1927. Boston, MA: Springer US, 2000. http://dx.doi.org/10.1007/1-4020-0613-6_21158.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
4

Weik, Martin H. "wireline." In Computer Science and Communications Dictionary, 1927. Boston, MA: Springer US, 2000. http://dx.doi.org/10.1007/1-4020-0613-6_21156.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
5

Weik, Martin H. "wireline transmission." In Computer Science and Communications Dictionary, 1927. Boston, MA: Springer US, 2000. http://dx.doi.org/10.1007/1-4020-0613-6_21160.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
6

Weik, Martin H. "wireline common carrier." In Computer Science and Communications Dictionary, 1927. Boston, MA: Springer US, 2000. http://dx.doi.org/10.1007/1-4020-0613-6_21157.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
7

Weik, Martin H. "wireline distribution system." In Computer Science and Communications Dictionary, 1927. Boston, MA: Springer US, 2000. http://dx.doi.org/10.1007/1-4020-0613-6_21159.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
8

Weik, Martin H. "protected wireline distribution system." In Computer Science and Communications Dictionary, 1359. Boston, MA: Springer US, 2000. http://dx.doi.org/10.1007/1-4020-0613-6_14975.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
9

Samdanis, Konstantinos, Manuel Paul, Thomas Kessler, and Rolf Winter. "Energy Efficiency Standards for Wireline Communications." In Green Communications, 377–94. Chichester, UK: John Wiley & Sons, Ltd, 2015. http://dx.doi.org/10.1002/9781118759257.ch20.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
10

Wiesbauer, Andreas, Jörg Hauptmann, and Peter Laaser. "Sigma Delta Converters in Wireline Communications." In Analog Circuit Design, 261–83. Boston, MA: Springer US, 2002. http://dx.doi.org/10.1007/0-306-47951-6_12.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.

Тези доповідей конференцій з теми "Wireline communication"

1

"W3B: Wireline & Wireless Communication." In 2019 32nd IEEE International System-on-Chip Conference (SOCC). IEEE, 2019. http://dx.doi.org/10.1109/socc46988.2019.9088038.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
2

Anand, Tejasvi. "A Stochastic Wireline Communication System." In 2019 IEEE 62nd International Midwest Symposium on Circuits and Systems (MWSCAS). IEEE, 2019. http://dx.doi.org/10.1109/mwscas.2019.8884914.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
3

Wahid, Asif, Rajath Bindiganavile, and Armin Tajalli. "Optimal PAM Order for Wireline Communication." In 2021 IEEE International Symposium on Circuits and Systems (ISCAS). IEEE, 2021. http://dx.doi.org/10.1109/iscas51556.2021.9401371.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
4

Vatankhahghadim, Behraz, Nijwm Wary, and Anthony Chan Carusone. "Discrete Multitone Signalling for Wireline Communication." In 2020 IEEE International Symposium on Circuits and Systems (ISCAS). IEEE, 2020. http://dx.doi.org/10.1109/iscas45731.2020.9180482.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
5

Tasneem, Naila, Taufiq Ahmed, and Ross M. Walker. "Wireline communication over an implantable lead." In 2016 IEEE EMBS Conference on Biomedical Engineering and Sciences (IECBES). IEEE, 2016. http://dx.doi.org/10.1109/iecbes.2016.7843466.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
6

Alon, E., A. Emami, G. den Besten, I. Fujimori, T. Kuroda, M. Nogawa, and H. Yamaguchi. "F3: Emerging technologies for wireline communication." In 2013 IEEE International Solid-State Circuits Conference (ISSCC 2013). IEEE, 2013. http://dx.doi.org/10.1109/isscc.2013.6487602.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
7

Dogutekin, Celebi, and Cenk Toker. "Matched line termination for wireline communication systems." In 2011 IEEE 19th Signal Processing and Communications Applications Conference (SIU). IEEE, 2011. http://dx.doi.org/10.1109/siu.2011.5929846.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
8

Yamaguchi, Hisakatsu. "Circuit Technology for High-speed Wireline Communication." In 2020 International Conference on Solid State Devices and Materials. The Japan Society of Applied Physics, 2020. http://dx.doi.org/10.7567/ssdm.2020.c-5-01.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
9

Tonello, Andrea M., Nunzio A. Letizia, and Marco De Piante. "Learning the Impedance Entanglement for Wireline Data Communication." In 2021 International Balkan Conference on Communications and Networking (BalkanCom). IEEE, 2021. http://dx.doi.org/10.1109/balkancom53780.2021.9593164.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
10

"Session 12 Overview: Advanced Optical Communication Circuits: Wireline Subcommittee." In 2020 IEEE International Solid- State Circuits Conference - (ISSCC). IEEE, 2020. http://dx.doi.org/10.1109/isscc19947.2020.9062933.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.

Звіти організацій з теми "Wireline communication"

1

Tzonev, Nick. PR-396-183905-R01 Autonomous System For Monitoring Pipeline River Crossings. Chantilly, Virginia: Pipeline Research Council International, Inc. (PRCI), June 2021. http://dx.doi.org/10.55274/r0012110.

Повний текст джерела
Анотація:
The goal of the GHZ-2-01 Project is to develop and lab-test a system for monitoring underground pipeline facilities at remote river crossings where access to power and wireline communications is not readily available. A next generation real-time river crossing monitoring solution requires an integration of various sensor types, data computation capabilities, and low power wireless connectivity which would: - utilize proven sensors technologies such as accelerometers, inclinometer strings and float-out buoys to detect dangerous conditions, - be able to recognize and minimize false alarms by examining a combination of sensors, - alarm on contact with hydrocarbons, - require minimal maintenance, - be easily scalable, both geographically and as a network, - provide seamless integration into Supervisory Control and Acquisition (SCADA) systems, and - be economical. There is a related webinar.
Стилі APA, Harvard, Vancouver, ISO та ін.
Ми пропонуємо знижки на всі преміум-плани для авторів, чиї праці увійшли до тематичних добірок літератури. Зв'яжіться з нами, щоб отримати унікальний промокод!

До бібліографії