Добірка наукової літератури з теми "Thin film interconnects"

Оформте джерело за APA, MLA, Chicago, Harvard та іншими стилями

Оберіть тип джерела:

Ознайомтеся зі списками актуальних статей, книг, дисертацій, тез та інших наукових джерел на тему "Thin film interconnects".

Біля кожної праці в переліку літератури доступна кнопка «Додати до бібліографії». Скористайтеся нею – і ми автоматично оформимо бібліографічне посилання на обрану працю в потрібному вам стилі цитування: APA, MLA, «Гарвард», «Чикаго», «Ванкувер» тощо.

Також ви можете завантажити повний текст наукової публікації у форматі «.pdf» та прочитати онлайн анотацію до роботи, якщо відповідні параметри наявні в метаданих.

Статті в журналах з теми "Thin film interconnects"

1

Smy, T., S. K. Dew, and M. J. Brett. "Simulation of Microstructure and Surface Profiles of Thin Films for VLSI Metallization." MRS Bulletin 20, no. 11 (November 1995): 65–69. http://dx.doi.org/10.1557/s0883769400045619.

Повний текст джерела
Анотація:
A crucial step in the manufacture of very large-scale integration (VLSI) integrated circuits is the fabrication of reliable, low-resistance metal interconnects between semiconductor devices. The fabrication of these interconnects is generally performed by depositing a blanket metal film and then patterning it by lithographic and etching techniques. The primary means of depositing thin metal films for VLSI interconnects are sputtering and chemical vapor deposition (CVD).The creation of reliable interconnects is, however, complicated by a number of issues. In order to obtain low contact resistance, to inhibit reactions with the silicon, and to provide good adhesion to both Si and SiO2, contact, barrier, and adhesion layers are generally deposited prior to the deposition of the low-resistance metal film that forms the bulk of the interconnect. If these layers are to provide an effective barrier to diffusion of the interconnection metal to the silicon, they must be deposited in a uniform, homogeneous form. It is also necessary that the primary interconnect material have as high step coverage as is possible in order to reduce current crowding, local heating effects, and electromigration. Unfortunately, as VLSI circuit densities have increased, the fabrication of interconnects requires high aspect-ratio contact cuts, and relatively severe local topographies can result. These factors make it difficult to deposit films with good step and bottom coverage.In addition to these concerns with the film surface profile, another factor is becoming increasingly significant. Both sputtering and CVD produce thin films with characteristic microstructures. This microstructure consists of columns or grains separated by grain boundaries and voids.
Стилі APA, Harvard, Vancouver, ISO та ін.
2

Lacour, Stéphanie P., Joyelle Jones, Sigurd Wagner, Teng Li, and Z. Suo. "ELASTOMERIC INTERCONNECTS." International Journal of High Speed Electronics and Systems 16, no. 01 (March 2006): 397–407. http://dx.doi.org/10.1142/s0129156406003722.

Повний текст джерела
Анотація:
Elastomeric interconnects made of patterned thin gold films on silicone membranes, can be reversibly bent, uniaxially or radially stretched while remaining electrically conducting. Such interconnects can be stretched to double their length, cycled 1,000 times without electrical failure. While the electrical resistance may increase threefold upon stretching, the resistance values still remain ~1,000 times below the typical input impedance of amorphous silicon thin film transistors. Therefore the stretchable gold films can function as interconnects for power and signal to a fully elastic thin film transistor inverter.
Стилі APA, Harvard, Vancouver, ISO та ін.
3

Cherenack, Kunigunde H., Thomas Kinkeldei, Christoph Zysset, and Gerhard Tröster. "Woven Thin-Film Metal Interconnects." IEEE Electron Device Letters 31, no. 7 (July 2010): 740–42. http://dx.doi.org/10.1109/led.2010.2048993.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
4

Hwang, Byungil, Yurim Han, and Paolo Matteini. "BENDING FATIGUE BEHAVIOR OF AG NANOWIRE/CU THIN-FILM HYBRID INTERCONNECTS FOR WEARABLE ELECTRONICS." Facta Universitatis, Series: Mechanical Engineering 20, no. 3 (November 30, 2022): 553. http://dx.doi.org/10.22190/fume220730040h.

Повний текст джерела
Анотація:
Enhancing the mechanical reliability of metal interconnects is important for achieving highly reliable flexible/wearable electronic devices. In this study, Ag nanowire and Cu thin-film hybrid interconnects were explored as a novel concept to enhance mechanical reliability under bending fatigue. Bending fatigue tests were conducted on the Cu thin films and Cu/Ag nanowire/polyimide (CAP) interconnects. The increase in resistance was larger for the Cu thin films than for the CAP. The single-component Cu electrodes showed multiple crack initiation and propagation due to bending strain, which degraded the electrical conductivity. In CAP, however, no long-range cracks were observed, even after 300,000 cycles of bending, although a wavy structure was observed, probably due to the delamination of the Ag nanowires under repeated bending. Our study confirms that flexible Ag nanowire and metal thin-film hybrids can enhance the mechanical reliability of metal thin-film interconnects under bending fatigue.
Стилі APA, Harvard, Vancouver, ISO та ін.
5

Beers, Kimberly, Andrew E. Hollowell, and G. Bahar Basim. "Thin Film Characterization on Cu/SnAg Solder Interface for 3D Packaging Technologies." MRS Advances 5, no. 37-38 (2020): 1929–35. http://dx.doi.org/10.1557/adv.2020.309.

Повний текст джерела
Анотація:
AbstractCopper is a commonly used interconnect metal in microelectronic interconnects due to its exceptional electrical and thermal properties. Particularly in applications of the 2.5 and 3D integration, Cu is utilized in through-silicon-vias (TSVs) and flip chip interconnects between microelectronic chips for providing miniaturization, lower power and higher performance than current 2D packaging approaches. SnAg capped Cu pillars are a common high-density interconnect technology for flip chip bonding. For these interconnects, specific properties of the Cu surface, such as roughness and cleanliness, are an important factor in the process to ensure quality solder bumps. During electroplating, tight processing parameters must be met so that defects are avoided, and high bump uniformity is achieved. An understanding of the interactions at the solder and Cu pillar interface is needed, based on the electroplating parameters, to determine the best method for populating solder on the wafer surface. In this study, surface treatment techniques such as oxygen plasma cleaning were performed on the Cu surfaces and the SnAg plating chemistry for depositing the solder were evaluated through hull cell testing to qualitatively determine the range of current densities to investigate. It was observed that current density while plating played a large role in solder bump deposition morphology. At the higher current densities greater than 60 mA/cm2, bump height non-uniformity and dendritic growth are observed and at lower current densities, less than or equal to 60 mA/cm2, uniform, continuous bump height occurred.
Стилі APA, Harvard, Vancouver, ISO та ін.
6

Thompson, Carl V., and James R. Lloyd. "Electromigration and IC Interconnects." MRS Bulletin 18, no. 12 (December 1993): 19–25. http://dx.doi.org/10.1557/s088376940003904x.

Повний текст джерела
Анотація:
A modern integrated circuit (IC) is composed of 106 or more electronic devices. They are connected to form a circuit through the use of metallic films patterned into strips which function as wires to interconnect devices. These wires are usually simply referred to as interconnects. In an IC occupying the surface of a 1 cm2 Si chip, there can be 10 m of total interconnect length. This length is in the form of more than 106 line segments contacting pairs of devices and different segments of the circuit. This enormous number of wires is made possible by their small widths. Interconnect widths as small as 0.55 μm are currently used in commercial circuits, and circuits and processes leading to smaller and smaller widths are continuously in development.During operation of an IC, interconnects carry current densities as high as 4 × 105 A/cm2. This should be compared with a current density of 102 A/cm2, the maximum allowed for house wiring. Thin-film conductors can carry these high current densities only because of the relatively good heat sinking provided by the Si substrate.
Стилі APA, Harvard, Vancouver, ISO та ін.
7

Vidal, Melissa Mederos, Alexander Flacker, and Ricardo Cotrin Teixeira. "Metallization of High Purity Al2O3 Substrate with Autocatalytic NiP Thin Films for Au Interconnections in MCM packaging technology." Journal of Integrated Circuits and Systems 15, no. 2 (July 31, 2020): 1–4. http://dx.doi.org/10.29292/jics.v15i2.145.

Повний текст джерела
Анотація:
A Multichip Module (MCM) is a structure consisting of several ICs (typically bare chips) interconnected on a common supporting substrate and packaged as a single device. In this packaging technology, gold (Au) thin films are used as interconnection tracks terminated by wire bonding process to the chips. Thus, the good quality of theses Au films (for interconnects purpose) is essential. The present work proposes a metallization sequence of high purity (99.9%) and polished Al2O3 substrates, with an autocatalytic (electroless) NiP thin film follow by an electrolytic Au film in order to improve the MCM interconnections quality. The results show NiP and Au films with good adhesion, low roughness, good thickness distribution and optimal electrical properties, which allows us to establish a methodology that guarantees the reproducibility and quality of the Au interconnections in MCM devices.
Стилі APA, Harvard, Vancouver, ISO та ін.
8

Kononenko, O. V., V. N. Matveev, and D. P. Field. "Electromigration properties of multigrain aluminum thin film conductors as influenced by grain boundary structure." Journal of Materials Research 16, no. 7 (July 2001): 2124–29. http://dx.doi.org/10.1557/jmr.2001.0289.

Повний текст джерела
Анотація:
Electromigration rates in polycrystalline interconnect lines are controlled by grain-boundary diffusion. As such, reliability of such interconnects is a direct function of the grain-boundary character distribution in the lines. In the present work, drift velocity experiments were performed on multicrystalline lines of pure Al to determine the electromigration activation energy of the lines. Lines cut from films processed by partially ionized beam deposition techniques were analyzed. One set of lines was analyzed in the as-deposited condition while the other film was annealed before testing. The measured drift velocities varied dramatically between these two types of films, as did the grain-boundary character distributions measured by orientation imaging. The data were analyzed based on Borisov's equation to obtain mean grain-boundary energies. Grain-boundary energy of the film with poor electromigration performance was calculated to be that reported for random boundaries, while that for the more reliable film was calculated to be that reported for twin boundaries in Al. Percolation theory was used to aid explanation of the results based upon the fraction and connectedness of special boundaries in the films.
Стилі APA, Harvard, Vancouver, ISO та ін.
9

Reddy, Mareddy Jayanth, Isak Almyren, Jan-Erik Svensson, and Jan Froitzheim. "Strategies to Improve the Effectiveness of the Thin Film Coated Interconnects." ECS Transactions 111, no. 6 (May 19, 2023): 2243–51. http://dx.doi.org/10.1149/11106.2243ecst.

Повний текст джерела
Анотація:
Ferritic stainless steels are used as interconnect materials in solid oxide cells (SOFC/SOEC). To enhance their performance and extend the lifespan of SOC’s, FSS interconnects are typically coated with protective coatings. MCO coatings deposited through physical vapor deposition have been extensively studied for this purpose. However, most studies have been conducted on in isothermal conditions which do not reflect the conditions experienced in stacks. Stacks are typically conditioned at high temperatures, usually 100-250°C above the operating temperatures, to ensure gas tightness. Thus, it is important to understand the influence of pre-oxidation on behaviour of the interconnect. The influence of pre-oxidation on Ce/Co-coated Crofer 22 APU and AISI 441 is studied at 850°C in air. The samples are characterised using scanning electron microscopy. The oxidation behaviour of Ce/Co-coated Crofer 22 APU improved significantly upon pre-oxidation whereas only minimal effect was observed for Ce/Co-coated AISI 441.
Стилі APA, Harvard, Vancouver, ISO та ін.
10

Santos, Rúben F., Bruno M. C. Oliveira, Liliane C. G. Savaris, Paulo J. Ferreira, and Manuel F. Vieira. "Seedless Cu Electroplating on Ru-W Thin Films for Metallisation of Advanced Interconnects." International Journal of Molecular Sciences 23, no. 3 (February 8, 2022): 1891. http://dx.doi.org/10.3390/ijms23031891.

Повний текст джерела
Анотація:
For decades, Ta/TaN has been the industry standard for a diffusion barrier against Cu in interconnect metallisation. The continuous miniaturisation of transistors and interconnects into the nanoscale are pushing conventional materials to their physical limits and creating the need to replace them. Binary metallic systems, such as Ru-W, have attracted considerable attention as possible replacements due to a combination of electrical and diffusion barrier properties and the capability of direct Cu electroplating. The process of Cu electrodeposition on Ru-W is of fundamental importance in order to create thin, continuous, and adherent films for advanced interconnect metallisation. This work investigates the effects of the current density and application method on the electro-crystallisation behaviour of Cu. The film structure, morphology, and chemical composition were assessed by digital microscopy, atomic force microscopy, scanning and transmission electron microscopies, energy-dispersive X-ray spectroscopy, and X-ray diffraction. The results show that it was possible to form a thin Cu film on Ru-W with interfacial continuity for current densities higher than 5 mA·cm−2; however, the substrate regions around large Cu particles remained uncovered. Pulse-reverse current application appears to be more beneficial than direct current as it decreased the average Cu particle size.
Стилі APA, Harvard, Vancouver, ISO та ін.

Дисертації з теми "Thin film interconnects"

1

Calhoun, Kenneth Harold. "Thin film compound semiconductor devices for photonic interconnects." Diss., Georgia Institute of Technology, 1993. http://hdl.handle.net/1853/15478.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
2

Modi, Mitul B. "Fracture in stress engineered, high density, thin film interconnects." Diss., Georgia Institute of Technology, 2003. http://hdl.handle.net/1853/16336.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
3

Zheng, Jiantao. "Interfacial fracture of micro thin film interconnects under monotonic and cyclic loading." Diss., Atlanta, Ga. : Georgia Institute of Technology, 2008. http://hdl.handle.net/1853/26489.

Повний текст джерела
Анотація:
Thesis (Ph. D.)--Mechanical Engineering, Georgia Institute of Technology, 2009.
Committee Chair: Sitaraman, Suresh; Committee Member: Degertekin, Levent; Committee Member: McDowell, David; Committee Member: Tummala, Rao; Committee Member: Vandentop, Gilroy; Committee Member: Wang, Zhong Lin. Part of the SMARTech Electronic Thesis and Dissertation Collection.
Стилі APA, Harvard, Vancouver, ISO та ін.
4

Au, Yeung Billy. "Chemical Vapor Deposition of Thin Film Materials for Copper Interconnects in Microelectronics." Thesis, Harvard University, 2012. http://dissertations.umi.com/gsas.harvard:10227.

Повний текст джерела
Анотація:
The packing density of microelectronic devices has increased exponentially over the past four decades. Continuous enhancements in device performance and functionality have been achieved by the introduction of new materials and fabrication techniques. This thesis summarizes the thin film materials and metallization processes by chemical vapor deposition (CVD) developed during my graduate study with Professor Gordon at Harvard University. These materials and processes have the potential to build future generations of microelectronic devices with higher speeds and longer lifetimes. Manganese Silicate Diffusion Barrier: Highly conformal, amorphous and insulating manganese silicate \((MnSi_xO_y)\) layers are formed along the walls of trenches in interconnects by CVD using a manganese amidinate precursor vapor that reacts with the surfaces of the insulators. These \((MnSi_xO_y)\) layers are excellent barriers to diffusion of copper, oxygen and water. Manganese Capping Layer: A selective CVD manganese capping process strengthens the interface between copper and dielectric insulators to improve the electromigration reliability of the interconnects. High selectivity is achieved by deactivating the insulator surfaces using vapors containing reactive methylsilyl groups. Manganese at the Cu/insulator interface greatly increases the strength of adhesion between the copper and the insulator. Bottom-up Filling of Copper and Alloy in Narrow Features: Narrow trenches, with widths narrow than 30 nm and aspect ratios up to 9:1, can be filled with copper or copper-manganese alloy in a bottom-up fashion using a surfactant-catalyzed CVD process. A conformal manganese nitride \((Mn_4N)\) layer serves as a diffusion barrier and adhesion layer. Iodine atoms chemisorb on the \(Mn_4N\) layer and are then released to act as a catalytic surfactant on the surface of the growing copper layer to achieve void-free, bottom-up filling. Upon post-annealing, manganese in the alloy diffuses out from the copper and forms a self-aligned barrier in the surface of the insulator. Conformal Seed Layers for Plating Through-Silicon Vias: Through-silicon vias (TSV) will speed up interconnections between chips. Conformal, smooth and continuous seed layers in TSV holes with aspect ratios greater than 25:1 can be prepared using vapor deposition techniques. \(Mn_4N\) is deposited conformally on the silica surface by CVD to provide strong adhesion at Cu/insulator interface. Conformal copper or Cu-Mn alloy seed layers are then deposited by an iodine-catalyzed direct-liquid-injection (DLI) CVD process.
Chemistry and Chemical Biology
Стилі APA, Harvard, Vancouver, ISO та ін.
5

Seo, Sang-Woo. "Development of thin film photodetectors and their applications multispectral detection and high speed optical interconnections /." Diss., Available online, Georgia Institute of Technology, 2004:, 2003. http://etd.gatech.edu/theses/available/etd-04082004-180408/unrestricted/seo%5fsang-woo%5f200312%5fphd.pdf.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
6

Ginga, Nicholas J. "On-chip dielectric cohesive fracture characterization and mitigation investigation through off-chip carbon nanotube interconnects." Diss., Georgia Institute of Technology, 2014. http://hdl.handle.net/1853/52225.

Повний текст джерела
Анотація:
The cohesive fracture of thin films is a concern for the reliability of many devices in microelectronics, MEMS, photovoltaics, and other applications. In microelectronic packaging the cohesive fracture toughness has become a concern with new low-k dielectric materials currently being used. To obtain the low-k values needed to meet electrical performance goals, the mechanical strength of the material has decreased. This has resulted in cohesive cracks occurring in the Back End of Line (BEoL) dielectric layers of the microelectronic packages. These cracks lead to electronic failures and occur after thermal loading (due to CTE mismatch of materials) and mechanical loading. To prevent these cohesive cracks, it is necessary to measure the cohesive fracture resistance of these thin films to implement during the design and analysis process. Many of the current tests to measure the cohesive fracture resistance of thin films are based on methods developed for larger scale specimens. These methods can be difficult to apply to thin films due to their size and require mechanical fixturing, physical contact near the crack tip, and complicated stress fields. Therefore, a fixtureless cohesive fracture resistance measurement technique has been developed that utilizes photolithography fabrication processes. This technique uses a superlayer thin film with a high intrinsic stress deposited on top of the desired test material to drive cohesive fracture through the thickness of test material. In addition to developing a technique to measure the fracture resistance of dielectric thin films, the use of carbon nanotube (CNT) forests as off-chip interconnects is investigated as a potential method to mitigate the fracture of these materials. The compressive and tensile modulus of CNT forests is characterized, and it is seen that the modulus is several orders of magnitude less than that of a single straight CNT. The low-modulus CNT forest will help mechanically decouple the chip from the board and reduce stress occurring in the dielectric layers as compared to the current technology of solder ball interconnects and therefore improve reliability. The mechanical performance of these CNT interconnects is investigated by creating a finite-element model of a flip chip electronic package utilizing CNT interconnects and comparing the chip stresses to a traditional solder ball interconnect scenario. Additionally, flip chips are fabricated with CNT forest interconnects, assembled to an FR4 substrate, and subjected to accelerated thermomechanical testing to experimentally investigate their performance.
Стилі APA, Harvard, Vancouver, ISO та ін.
7

Crozier, M. L. "Development of a novel series interconnect for thin-film photovoltaics." Thesis, Heriot-Watt University, 2017. http://hdl.handle.net/10399/3228.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
8

Weaver, David John. "A study of graphoepitaxially grown Al and Cu interconnects." Thesis, University of York, 1998. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.265566.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
9

Wikström, Adam. "Modeling of stresses and deformation in thin film and interconnect line structures." Doctoral thesis, KTH, Solid Mechanics, 2001. http://urn.kb.se/resolve?urn=urn:nbn:se:kth:diva-3224.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
10

Wikström, Adam. "Modeling of stresses and deformation in thin film and interconnect line structures /." Stockholm : Tekniska högsk, 2001. http://urn.kb.se/resolve?urn=urn:nbn:se:kth:diva-3224.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.

Книги з теми "Thin film interconnects"

1

International Symposium on Thin Film Materials, Processes, and Reliability (2003 Paris, France). Thin film materials, processes, and reliability: Plasma processing for the 100 nm node and copper interconnects with low-k inter-level dielectric films : proceedings of the international symposium. Edited by Mathad G. S, Electrochemical Society. Dielectric Science and Technology Division., Electrochemical Society Electronics Division, and Electrochemical Society Meeting. Pennington, NJ: Electrochemical Society, 2003.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
2

Ree, Moonhor. Low-k nanoporous interdielectrics: Materials, thin film fabrications, structures and properties. Hauppauge, N.Y: Nova Science Publishers, 2010.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
3

S, Ho P., ed. Stress-induced phenomena in metallization: Seventh International Workshop on Stress-Induced Phenomena in Metallization, Austin, Texas, 14-16 June 2004. Melville, N.Y: American Institute of Physics, 2004.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
4

R, Besser Paul, and Materials Research Society. Meeting Symposium B, eds. Materials, technology and reliability for advanced interconnects--2005: Symposium held March 28-April 1, 2005, San Francisco, California, U.S.A. Warrendale, Pa: Materials Research Society, 2005.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
5

T, Chen Ray, and Society of Photo-optical Instrumentation Engineers., eds. Optoelectronic interconnects: 18-20 January 1993, Los Angeles, California. Bellingham, Wash., USA: SPIE, 1993.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
6

1948-, Carter R. J., Materials Research Society Meeting, and Symposim on Materials, Technology and Reliability for Advanced Interconnects and Low-k Dielectrics (2004 : San Francisco, Calif.), eds. Materials, technology, and reliability for advanced interconnects and low-k dielectrics--2004: Symposium held April 13-15, 2004, San Francisco, California, U.S.A. Warrendale, Pa: Materials Research Society, 2004.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
7

Electromigration in thin films and electronic devices: Materials and reliability. Oxford: Woodhead Publishing, 2011.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
8

Guynes, Sean, and Dan Hassler-Forest, eds. Star Wars and the History of Transmedia Storytelling. NL Amsterdam: Amsterdam University Press, 2017. http://dx.doi.org/10.5117/9789462986213.

Повний текст джерела
Анотація:
Star Wars has reached more than three generations of casual and hardcore fans alike, and as a result many of the producers of franchised Star Wars texts (films, television, comics, novels, games, and more) over the past four decades have been fans-turned-creators. Yet despite its dominant cultural and industrial positions, Star Wars has rarely been the topic of sustained critical work. Star Wars and the History of Transmedia Storytelling offers a corrective to this oversight by curating essays from a wide range of interdisciplinary scholars in order to bring Star Wars and its transmedia narratives more fully into the fold of media and cultural studies. The collection places Star Wars at the center of those studies’ projects by examining video games, novels and novelizations, comics, advertising practices, television shows, franchising models, aesthetic and economic decisions, fandom and cultural responses, and other aspects of Star Wars and its world-building in their multiple contexts of production, distribution, and reception. In emphasizing that Star Wars is both a media franchise and a transmedia storyworld, Star Wars and the History of Transmedia Storytelling demonstrates the ways in which transmedia storytelling and the industrial logic of media franchising have developed in concert over the past four decades, as multinational corporations have become the central means for subsidizing, profiting from, and selling modes of immersive storyworlds to global audiences. By taking this dual approach, the book focuses on the interconnected nature of corporate production, fan consumption, and transmedia world-building. As such, this collection grapples with the historical, cultural, aesthetic, and political-economic implications of the relationship between media franchising and transmedia storytelling as they are seen at work in the world’s most profitable transmedia franchise.
Стилі APA, Harvard, Vancouver, ISO та ін.
9

(Editor), Paul S. Ho, Shefford P. Baker (Editor), Tomoji Nakamura (Editor), and Cynthia A. Volkert (Editor), eds. Stress-Induced Phenomena in Metallization: Seventh International Workshop on Stress-Induced Phenomena in Metallization (AIP Conference Proceedings / AIP ... Phenomena Metallizat.). American Institute of Physics, 2004.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
10

VLSI and Post-CMOS Electronics: Devices, Circuits and Interconnects. Institution of Engineering & Technology, 2019.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.

Частини книг з теми "Thin film interconnects"

1

Roggen, J., E. Beyne, C. Truzzi, E. Ringoot, and P. Pieters. "On Thin Film MCM-D Interconnects." In Microelectronic Interconnections and Assembly, 141–44. Dordrecht: Springer Netherlands, 1998. http://dx.doi.org/10.1007/978-94-011-5135-1_16.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
2

Yokhin, Boris. "Thin Film Metrology - X-ray Methods." In Advanced Nanoscale ULSI Interconnects: Fundamentals and Applications, 497–502. New York, NY: Springer New York, 2009. http://dx.doi.org/10.1007/978-0-387-95868-2_33.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
3

Chen, Ray T., and Chulchae Choi. "Effects of Thermal-Via Structures on Thin Film VCSELs for a Fully Embedded Board-Level Optical Interconnection System." In Optical Interconnects, 75–85. Cham: Springer International Publishing, 2008. http://dx.doi.org/10.1007/978-3-031-02553-2_8.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
4

Yoshimura, Tetsuzo. "Integrated Optical Interconnects and Optical Switching Systems." In Molecular Layer Deposition for Tailored Organic Thin-Film Materials, 253–74. Boca Raton: CRC Press, 2023. http://dx.doi.org/10.1201/9781003094012-11.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
5

Iwasaki, Tomio, and Hideo Miura. "Molecular Dynamics Analysis of Grain-Boundary Grooving in Thin-Film Interconnects for ULSIs." In Simulation of Semiconductor Processes and Devices 1998, 344–47. Vienna: Springer Vienna, 1998. http://dx.doi.org/10.1007/978-3-7091-6827-1_86.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
6

Lee, Dong Nyung. "Annealing Textures of Thin Films and Copper Interconnects." In Materials Science Forum, 1–8. Stafa: Trans Tech Publications Ltd., 2005. http://dx.doi.org/10.4028/0-87849-960-1.1.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
7

Ghosh, Kerenza. "Chapter 4. Exploring animality and childhood in stop-motion animation Prokofiev’s Peter & the Wolf." In Children’s Literature, Culture, and Cognition, 52–70. Amsterdam: John Benjamins Publishing Company, 2023. http://dx.doi.org/10.1075/clcc.16.04gho.

Повний текст джерела
Анотація:
This chapter presents animality as a way to illuminate interconnected relations between children and animals in film through an analysis of stop-motion animation Prokofiev’s Peter & the Wolf (Templeton 2006), adapted from a symphonic fairy tale by Sergei Prokofiev (1936). It argues for animality as a core concept in preserving animal essence and credibility while exploring how animal characters integrate the human and nonhuman. Interdependence between animals and children is considered through notions of “significant otherness” (Haraway 2003) and “childhoodnature” (Cutter-Mackenzie-Knowles, Malone, and Barratt Hacking 2020). The chapter also examines how the film’s material qualities accentuate its production of animality.
Стилі APA, Harvard, Vancouver, ISO та ін.
8

Németh, Károly. "Volcanic Geoheritage in the Light of Volcano Geology." In Geoheritage, Geoparks and Geotourism, 1–24. Cham: Springer International Publishing, 2022. http://dx.doi.org/10.1007/978-3-031-07289-5_1.

Повний текст джерела
Анотація:
AbstractVolcanic geoheritage relates to the geological features of a region that are associated with the formation of a volcanic terrain in diverse geoenvironmental conditions. These features include the volcanic processes, volcanic landforms and/or the eruptive products of volcanism that form the geological architecture of that region. Volcanic geoheritage is expressed through the landscape and how it forms and evolves through volcanic processes on various spatio-temporal scales. In this sense it is directly linked to the processes of how magma released, transported to the surface and fragmented, the styles of eruption and accumulation of the eruptive products. Volcanic geoheritage is directly linked to the natural processes that generated them. Geocultural aspects are treated separately through volcanic geosite identification and their valorization stages. Identification of volcanic geosites, based on various valorization techniques, have been applied successfully in the past decades to many geological heritage elements. Volcanism directly impacts societal, cultural, and traditional development of communities, hence the “living with volcanoes” concept and indigenous aspects and knowledge about volcanism can and should play important roles in these valorization methods through co-development, transdisciplinary approaches by including interconnected scientists in discussions with local communities. Elements of volcanism and volcanic geoheritage benefit of the geoculture of society so volcanic geoheritage sites are ideal locations for community geoeducation where resilience toward volcanic hazard could be explored and applied more effectively than it is done today. Geoparks within volcanic terrains or volcanism-influenced regions should be the flagship conservation, education and tourism sites for this message. Volcanism can be an integral part of processes operating in sedimentary basins. Here volcanic eruptive products and volcanic processes contribute to the sediment fill and geological features that characterize the geoheritage of that region.
Стилі APA, Harvard, Vancouver, ISO та ін.
9

Gambino, Jeff. "Process Technology for Copper Interconnects." In Handbook of Thin Film Deposition, 147–94. Elsevier, 2018. http://dx.doi.org/10.1016/b978-0-12-812311-9.00006-2.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
10

Gambino, Jeffrey. "Process Technology for Copper Interconnects." In Handbook of Thin Film Deposition, 221–69. Elsevier, 2012. http://dx.doi.org/10.1016/b978-1-4377-7873-1.00008-5.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.

Тези доповідей конференцій з теми "Thin film interconnects"

1

Ahmed, Abu Naim R., Shouyuan Shi, Sean Nelan, Andrew J. Mercante, Peng Yao, and Dennis W. Prather. "Low-voltage modulators using thin-film lithium niobate." In Optical Interconnects XX, edited by Henning Schröder and Ray T. Chen. SPIE, 2020. http://dx.doi.org/10.1117/12.2542458.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
2

Tu, K. N. "Electromigration in VLSI of thin film interconnects." In SPIE Proceedings, edited by Junhao Chu, Zongsheng Lai, Lianwei Wang, and Shaohui Xu. SPIE, 2004. http://dx.doi.org/10.1117/12.607263.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
3

Liu, M., C. K. Hwangbo, L. Friedrich, and G. I. Stegeman. "Preparation and Characterization of Single Crystal PTS Waveguide Film." In Organic Thin Films for Photonic Applications. Washington, D.C.: Optica Publishing Group, 1997. http://dx.doi.org/10.1364/otfa.1997.wc.2.

Повний текст джерела
Анотація:
Spatial optical solitons have been receiving an increasing amount of attention due to their potential application for all-optical interconnects. Bright solitons arise as a compensation of natural diffraction of an optical beam by a self-focus nonlinearity. They have been observed in semiconductor, glass and CS2 waveguides at powers of 500W, 400KW and 500KW [1,2,3]. Recently, conjugated polymer poly[2,4-hexadiyn-1, 6-diol-bis(p-toluenesulfonate)] (PTS) was reported to have large positive nonlinearity (2.2×10-12W/cm2) and a very small two-photo absorption at around 1.6μm [4]. The large off-resonant positive nonlinearity would allow the one dimensional (ID) spatial soliton at a practical power level on PTS slab waveguide. We now report the preparation and waveguiding of PTS films.
Стилі APA, Harvard, Vancouver, ISO та ін.
4

Gurrum, Siva P., William P. King, Yogendra K. Joshi, and Koneru Ramakrishna. "Joule Heating and Thermal Conductivity Determination of Nanoscale Metallic Thin Films and Interconnects." In ASME 2005 International Mechanical Engineering Congress and Exposition. ASMEDC, 2005. http://dx.doi.org/10.1115/imece2005-82909.

Повний текст джерела
Анотація:
Evolution of high performance microprocessors has resulted in a steady decrease in on-chip feature sizes. Increasing requirements on maximum current density are expected to increase interconnect temperature drastically due to Joule heating. As interconnect dimensions approach the electron mean free path range, effective conductivity reduces due to size effects. Thermal characterization of sub-micron interconnects and thin films is thus highly important. This work investigates current crowding and the associated Joule heating near a constriction in a thin metallic film and proposes a novel technique to determine thermal conductivity of thin metallic films and interconnects in the sub-100 nm range. Scanning Joule Expansion Microscopy (SJEM) measures the thermal expansion of the structure whose thickness is comparable to the mean free path of electrons. Numerical solution of heat conduction equation in the frequency space is used to obtain a fit for effective thermal conductivity. A thermal conductivity of ~ 80.0 W/mK provides a best fit to the data. This is about one-third the bulk thermal conductivity of gold, which is 318 W/mK at room temperature. Using Wiedemann-Franz Law a thermal conductivity of 92.0 W/mK is obtained after measuring the electrical resistivity of the metal line. This is close to that obtained through numerical fit.
Стилі APA, Harvard, Vancouver, ISO та ін.
5

Simmons-Potter, K., B. G. Potter, M. B. Sinclair, and D. C. Meister. "Photosensitive thin film materials and devices." In Bragg Gratings, Photosensitivity, and Poling in Glass Fibers and Waveguides. Washington, D.C.: Optica Publishing Group, 1997. http://dx.doi.org/10.1364/bgppf.1997.jsue.16.

Повний текст джерела
Анотація:
Planarization of photosensitive device technology promises to significantly expand the application options for this type of material. Current use of hydrogen techniques have successfully produced strongly photosensitive thin-films, but require complex manufacturing processes and preclude in-situ writing of optical interconnects. We will report on the production of highly photosensitive thin films, without the use of post-deposition processing, which promise compatibility and integrability with III-V and Si processing. We will demonstrate a number of device structures with unique optical functionality in our films.
Стилі APA, Harvard, Vancouver, ISO та ін.
6

Hsu, Yung-Yu, Kylie Lucas, Dan Davis, Rooz Ghaffari, Brian Elolampi, Mitul Dalal, John Work, Stephen Lee, Conor Rafferty, and Kevin Dowling. "Design for reliability of multi-layer thin film stretchable interconnects." In 2013 IEEE 63rd Electronic Components and Technology Conference (ECTC). IEEE, 2013. http://dx.doi.org/10.1109/ectc.2013.6575638.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
7

Priymak, A. N. "Novel Microdoped Al Alloys for Highly Reliable Thin Film Interconnects." In 1992 International Conference on Solid State Devices and Materials. The Japan Society of Applied Physics, 1992. http://dx.doi.org/10.7567/ssdm.1992.pa4-2.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
8

Robinson, M. J. "Thin film RF module design for high volume applications." In IEE Seminar on Packaging and Interconnects at Microwave and MM-Wave Frequencies. IEE, 2000. http://dx.doi.org/10.1049/ic:20000420.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
9

Huang, R., J. Liang, J. H. Pre´vost, and Z. Suo. "Fracture of Thin Film Structures With Creeping Underlayer." In ASME 2003 International Mechanical Engineering Congress and Exposition. ASMEDC, 2003. http://dx.doi.org/10.1115/imece2003-42989.

Повний текст джерела
Анотація:
Fracture in small structures has been studied intensely in recent years, motivated by diverse applications such as interconnects in mircoprocessors, resonant structures in microelectromehanical systems, thermal barrier coatings in gasturbine engines, and multilayers in medical implants. The applications typically require that materials with extremely different properties be integrated in small dimensions. The structural complexity, as well as the small feature sizes, can lead to unusual phenomena. For example, it is discovered that cracks can grow in brittle films under cyclic temperatures, driven by ratcheting plastic deformation in a metal underlayer. We will revisit this phenomenon toward the end of this paper.
Стилі APA, Harvard, Vancouver, ISO та ін.
10

Ura, Shogo, Kouji Shinoda, Chikara Ito, Daisuke Nii, Kenzo Nishio, Yasuhiro Awatsuji, and Kenji Kintaka. "Signal Transmission from VCSEL in Thin-Film-Waveguide WDM Optical Interconnects Board." In Integrated Photonics and Nanophotonics Research and Applications. Washington, D.C.: OSA, 2007. http://dx.doi.org/10.1364/ipnra.2007.iwc4.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.

Звіти організацій з теми "Thin film interconnects"

1

Garcia, Lyan, James Rowland, and Jeb Tingle. Evaluation of geocell-reinforced backfill for airfield pavement repair. Engineer Research and Development Center (U.S.), December 2021. http://dx.doi.org/10.21079/11681/42550.

Повний текст джерела
Анотація:
After an airfield has been attacked, temporary airfield pavement repairs should be accomplished quickly to restore flight operations. Often, the repairs are made with inadequate materials and insufficient manpower due to limited available resources. Legacy airfield damage repair (ADR) methods for repairing bomb damage consist of using bomb damage debris to fill the crater, followed by placement of crushed stone or rapid-setting flowable fill backfill with a foreign object debris (FOD) cover. While these backfill methods have provided successful results, they are heavily dependent on specific material and equipment resources that are not always readily available. Under emergency conditions, it is desirable to reduce the logistical burden while providing a suitable repair, especially in areas with weak subgrades. Geocells are cellular confinement systems of interconnected cells that can be used to reinforce geotechnical materials. The primary benefit of geocells is that lower quality backfill materials can be used instead of crushed stone to provide a temporary repair. This report summarizes a series of laboratory and field experiments performed to evaluate different geocell materials and geometries in combinations with a variety of soils to verify their effectiveness at supporting heavy aircraft loads. Results provide specific recommendations for using geocell technology for backfill reinforcement for emergency airfield repairs.
Стилі APA, Harvard, Vancouver, ISO та ін.
Ми пропонуємо знижки на всі преміум-плани для авторів, чиї праці увійшли до тематичних добірок літератури. Зв'яжіться з нами, щоб отримати унікальний промокод!

До бібліографії