Добірка наукової літератури з теми "Macro cell"

Оформте джерело за APA, MLA, Chicago, Harvard та іншими стилями

Оберіть тип джерела:

Ознайомтеся зі списками актуальних статей, книг, дисертацій, тез та інших наукових джерел на тему "Macro cell".

Біля кожної праці в переліку літератури доступна кнопка «Додати до бібліографії». Скористайтеся нею – і ми автоматично оформимо бібліографічне посилання на обрану працю в потрібному вам стилі цитування: APA, MLA, «Гарвард», «Чикаго», «Ванкувер» тощо.

Також ви можете завантажити повний текст наукової публікації у форматі «.pdf» та прочитати онлайн анотацію до роботи, якщо відповідні параметри наявні в метаданих.

Статті в журналах з теми "Macro cell"

1

Guenzl, Philipp M., and Denise P. Barlow. "Macro lncRNAs." RNA Biology 9, no. 6 (June 2012): 731–41. http://dx.doi.org/10.4161/rna.19985.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
2

Zhao, Jun Juan, Zhan Zhao, Li Dong Du, and Shao Hua Wu. "Macro Machined Photoacoustic Non-Resonant Cell." Key Engineering Materials 483 (June 2011): 411–16. http://dx.doi.org/10.4028/www.scientific.net/kem.483.411.

Повний текст джерела
Анотація:
Photoacoustic spectroscopy (PAS), which is widely used in gas sensing applications, is capable of a wide dynamic range, simplicity of use, high selectivity and sensitivity and also possesses novel detection characteristics when the cell are scaled into a micro system design. In a PAS system which is based on the photoacoustic effect, if the parameters of light power, chopper frequency, and absorption coefficient of the target analyte were decided, the level of the acoustic signal is inverse proportional to the radius square of the cell in which the sample is laid. So, miniaturizing the size of the cell can improve the system’s sensitivity. In our experiment, we initially designed a macro photoacoustic non-resonant cell (PNC) using stainless steel. As the macro cell is scaled to a dimension approaching the microelectromechanical systems (MEMS) level, the basic information gained from these initial tests is a special reference to fabricating a MEMS scale device. The non-resonance test conducted at 100 Hz demonstrated large signals (15.89mV) for CO2concentrations at 600 ppm and high signal-to-noise (S/N) values (~ 85:1). Then a structure of monolithic MEMS photoacoustic cavity was proposed which incorporate a three-wafer.
Стилі APA, Harvard, Vancouver, ISO та ін.
3

Aarts, E. H. L., F. M. J. de Bont, J. H. M. Korst, and J. M. J. Rongen. "An efficient macro-cell placement algorithm." Integration 10, no. 3 (February 1991): 299–317. http://dx.doi.org/10.1016/s0167-9260(05)80023-9.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
4

YAN, JIN-TAI. "ROUTING SPACE ESTIMATION AND ASSIGNMENT FOR MACRO-CELL PLACEMENT." Journal of Circuits, Systems and Computers 08, no. 04 (August 1998): 435–46. http://dx.doi.org/10.1142/s0218126698000237.

Повний текст джерела
Анотація:
In general, the routing space between two adjacent macro-cells is estimated and assigned after completing the placement of all the macro-cells. In this paper, the routing space in a macro-cell placement is divided into straight channels. First, based on a probabilistic analysis, a new routing space estimation approach for a channel is proposed. For the assignment of routing space between two adjacent macro-cells, it is desired that this assignment does not change the topological relation between any pair of adjacent macro-cells in a macro-cell placement. Hence, the assignment of a previous channel will not be modified by the assignment of a recent channel during the assignment process of routing space. A safe routing space assignment approach is further proposed for obtaining a complete macro-cell placement. It is proved that the time complexity of a safe routing space assignment is O(Nlog N), where N is the number of macro-cells in a macro-cell netlist. Finally, the experimental results show that the proposed estimation and assignment of routing space is effective in a macro-cell placement.
Стилі APA, Harvard, Vancouver, ISO та ін.
5

Katsnelson, Alla. "MicroRNAs with macro effects." Journal of Cell Biology 177, no. 4 (May 7, 2007): 568. http://dx.doi.org/10.1083/jcb.1774rr5.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
6

Cao, Zhong Lu, Makoto Hibino, and Hiroki Goda. "Effect of Steel Surface Conditions on the Macro-Cell Polarization Behavior of Reinforcing Steel." Applied Mechanics and Materials 584-586 (July 2014): 1771–79. http://dx.doi.org/10.4028/www.scientific.net/amm.584-586.1771.

Повний текст джерела
Анотація:
The effect of steel surface conditions on the macro-cell polarization behavior of reinforcing steels embedded in cement mortar was investigated by comparing and analyzing the macro-cell current density and macro-cell polarization ratio of steels. The results indicated that steel surface conditions played an important role in controlling the macro-cell corrosion and polarization. The polished or pre-rusted surface had a better corrosion resistance to inhibit the flow of macro-cell current than the scaled surface that formed in the production process. Compared to the polished surface, the presence of mill scale or rust oxides on the surface of anodic steel could reduce the macro-cell polarization ratio of anode.
Стилі APA, Harvard, Vancouver, ISO та ін.
7

Cao, Zhong Lu. "Effect of Water Conditions on Macro-Cell Corrosion Potential Difference and Current Density of Reinforcing Steel in Concrete." Key Engineering Materials 831 (February 2020): 87–94. http://dx.doi.org/10.4028/www.scientific.net/kem.831.87.

Повний текст джерела
Анотація:
In marine concrete structure, corrosion behaviors of reinforcing steel in atmosphere, splash, water-level-fluctuating and underwater zone are significantly different. This paper investigated and analyzed the effect of water conditions on the macro-cell corrosion potential difference and macro-cell current density of steel in concrete free of chloride and concrete contaminated by chloride. Results indicated that in concrete that is free of chloride and with low water content, its resistance becomes the limiting factor for the formation of macro-cell current. The increase of water content of concrete could promote the flow of macro-cell current. In concrete contaminated with chloride, only the water-saturated state of anode was little helpful to inhibit the macro-cell current, but the increase of water content of concrete around the cathodic steel could weaken the macro-cell corrosion. The water condition of cathode and anode could play an important role in controlling the ratio of magnitude of macro-cell current to micro-cell current.
Стилі APA, Harvard, Vancouver, ISO та ін.
8

Liu, L. C. E., and C. Sechen. "Multilayer pin assignment for macro cell circuits." IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 18, no. 10 (1999): 1452–61. http://dx.doi.org/10.1109/43.790622.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
9

Kilcher, Giona, Daniela Delneri, Craig Duckham, and Nicola Tirelli. "Probing (macro)molecular transport through cell walls." Faraday Discussions 139 (2008): 199. http://dx.doi.org/10.1039/b717840a.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
10

Bojinca, Mihai, Violeta Claudia Bojinca, Andra Rodica Balanescu, and Serban Mihai Balanescu. "Macro Creatine Kinase (macro CK) in Clinical Practice." Revista de Chimie 69, no. 8 (September 15, 2018): 2107–9. http://dx.doi.org/10.37358/rc.18.8.6483.

Повний текст джерела
Анотація:
Creatine kinase (CK) is an important enzyme involved in energy metabolism. CK is found in the cytosol and mitochondria of various tissues, mainly those with increased energy necessities as skeletal muscle, cardiac muscle and brain, but also in visceral tissues. CK is a dimeric molecule composed of two identical or different subunits, type M - muscular and type B - brain. The combination of M and B subunits leads to formation of three isozymes: CK - MM found mainly in the skeletal muscle, CK - BB found mainly in the brain and CK - MB found mainly in the cardiac muscle, but also in small quantities in the skeletal muscle. The serum increase of different isozymes of CK is a consequence of cell disruption in various clinical situations like physical training, rhabdomyolysis, myositis, muscular dystrophy, myocardial infarction and others, CK being an important biomarker for this diseases. Macro CK is a complex of CK and immunoglobulin (macro CK type 1) or a polymer of mitochondrial CK (macro CK type 2) that induces false and persistent elevation of CK levels that could mislead the clinician. We present a review of the literature concerning the appearance and clinical significance of macro CK.
Стилі APA, Harvard, Vancouver, ISO та ін.

Дисертації з теми "Macro cell"

1

Eick, Brigitte N. "A macro- and micro-evolutionary investigation of African Camponotus ants." Doctoral thesis, University of Cape Town, 2002. http://hdl.handle.net/11427/4258.

Повний текст джерела
Анотація:
Bibliography: leaves 213-233.
Camponotus than the cytochrome oxidase II gene, based on almost all measures of phylogenetic utility. The primary hypothesis proposed to account for this observation is that these two mitochondrial genes are evolving under different evolutionary constraints. Specifically, the cytochrome oxidase II gene displays greater rate heterogeneity than the cytochrome b gene, thereby decreasing its utility for phylogenetic analyses. Combining sequence data from both genes resulted in more robust phylogenetic hypotheses, with the combined topologies displaying greater congruence with the cytochrome b topologies than those based on cytochrome oxidase II sequence data. The morphological data produced a topology that was congruent with that obtained from molecular data, and provided increased support for certain nodes in the context of a combined molecular-morphological framework. The hypothesis that subgeneric classifications within Camponotus do not accurately reflect phylogenetic relationships was supported by the molecular phylogenies. An exception to this hypothesis was the monophyly of the subgenus Myrmosericus, based on cytochrome b data. The morphological and behavioural data provided support for a monophyletic group comprising the four species assigned to the subgenus Myrmopiromis. However, although these four species associated together in a group based on combined cytochrome oxidase II and cytochrome b sequences, this group was paraphyletic in the combined molecular topology, with two species in subgenus Myrmopsamma also falling within this group.
Стилі APA, Harvard, Vancouver, ISO та ін.
2

Dickson, Andrew Holden. "A 2.5 Gb/s SONET clock and data recovery macro cell." Thesis, Massachusetts Institute of Technology, 1994. http://hdl.handle.net/1721.1/35398.

Повний текст джерела
Анотація:
Thesis (M.S.)--Massachusetts Institute of Technology, Dept. of Electrical Engineering and Computer Science, 1994.
Includes bibliographical references (leaves 109-110).
by Andrew Holden Dickson.
M.S.
Стилі APA, Harvard, Vancouver, ISO та ін.
3

Bhattacharya, Subhendu, and subhendu bhattacharya@rmit edu au. "Development of macro/nanocellular foams in polymer nanocomposites." RMIT University. Civil, Environmental and Chemical Engineering, 2009. http://adt.lib.rmit.edu.au/adt/public/adt-VIT20100122.114345.

Повний текст джерела
Анотація:
This thesis focuses on the generation of fine cell polymer foams using a heterogeneous nucleating agent (nanoclay), appropriate polymer blending strategies and accurate control of foam processing parameters. Foaming behaviour of HMSPP/ clay nanocomposites and HMS-PP/EVA/clay nanocomposite blends is studied using a batch and a continuous foam injection moulding system. Morphological studies using TEM and SEM led to a few interesting deductions. It is very difficult to attain complete exfoliation in case of HMS-PP/clay nanocomposites even at low clay loadings due to a non polar nature and low graft efficiencies of HMS-PP matrix. The addition of clay to an immiscible blend of HMS-PP/EVA results in compatibilization between the dispersed and the continuous phase. Nanocellular foams (290 nm) were subsequently generated in the batch process at a foaming temperature of 147oC and 25 seconds foaming time. The addition of immiscible EVA-28 to the HMS-PP matrix in presence of clay particles further results in reduction of foam cell sizes to 100 nm. The effect of gas concentration, foaming temperature, injection pressure, and foaming time on foam cell size was studied. It was found that the foam cell size was highly sensitive to the injection pressure at the mould gate (hence pressure drop rate) and foaming temperature. The cell size linearly decreased with increase in gas concentration and foaming time. The sensitivity of foam cell sizes to changes in processing parameters decreases with increase in clay concentration. The effect of addition of clay particle on gas solubility was modelled using the Guggenheims contact fraction approach and subsequently a new model to predict gas solubility was developed using statistical thermodynamic tools. Additionally the effect of shear and extensional rheology on foam cell morphology was modelled. It was found that the viscoelasticity of the polymer matrix greatly affects cell sizes as compared to extensional viscosity.
Стилі APA, Harvard, Vancouver, ISO та ін.
4

Vidal, Obiols Alexandre. "Algorithmic techniques for physical design : macro placement and under-the-cell routing." Doctoral thesis, Universitat Politècnica de Catalunya, 2020. http://hdl.handle.net/10803/668839.

Повний текст джерела
Анотація:
With the increase of chip component density and new manufacturability constraints imposed by modern technology nodes, the role of algorithms for electronic design automation is key to the successful implementation of integrated circuits. Two of the critical steps in the physical design flows are macro placement and ensuring all design rules are honored after timing closure. This thesis proposes contributions to help in these stages, easing time-consuming manual steps and helping physical design engineers to obtain better layouts in reduced turnaround time. The first contribution is under-the-cell routing, a proposal to systematically connect standard cell components via lateral pins in the lower metal layers. The aim is to reduce congestion in the upper metal layers caused by extra metal and vias, decreasing the number of design rule violations. To allow cells to connect by abutment, a standard cell library is enriched with instances containing lateral pins in a pre-selected sharing track. Algorithms are proposed to maximize the numbers of connections via lateral connection by mapping placed cell instances to layouts with lateral pins, and proposing local placement modifications to increase the opportunities for such connections. Experimental results show a significant decrease in the number of pins, vias, and in number of design rule violations, with negligible impact on wirelength and timing. The second contribution, done in collaboration with eSilicon (a leading ASIC design company), is the creation of HiDaP, a macro placement tool for modern industrial designs. The proposed approach follows a multilevel scheme to floorplan hierarchical blocks, composed of macros and standard cells. By exploiting RTL information available in the netlist, the dataflow affinity between these blocks is modeled and minimized to find a macro placement with good wirelength and timing properties. The approach is further extended to allow additional engineer input, such as preferred macro locations, and also spectral and force methods to guide the floorplanning search. Experimental results show that the layouts generated by HiDaP outperforms those obtained by a state-of-the-art EDA physical design software, with similar wirelength and better timing when compared to manually designed tape-out ready macro placements. Layouts obtained by HiDaP have successfully been brought to near timing closure with one to two rounds of small modifications by physical design engineers. HiDaP has been fully integrated in the design flows of the company and its development remains an ongoing effort.
A causa de l'increment de la densitat de components en els xip i les noves restriccions de disseny imposades pels últims nodes de fabricació, el rol de l'algorísmia en l'automatització del disseny electrònic ha esdevingut clau per poder implementar circuits integrats. Dos dels passos crucials en el procés de disseny físic és el placement de macros i assegurar la correcció de les regles de disseny un cop les restriccions de timing del circuit són satisfetes. Aquesta tesi proposa contribucions per ajudar en aquests dos reptes, facilitant laboriosos passos manuals en el procés i ajudant als enginyers de disseny físic a obtenir millors resultats en menys temps. La primera contribució és el routing "under-the-cell", una proposta per connectar cel·les estàndard usant pins laterals en les capes de metall inferior de manera sistemàtica. L'objectiu és reduir la congestió en les capes de metall superior causades per l'ús de metall i vies, i així disminuir el nombre de violacions de regles de disseny. Per permetre la connexió lateral de cel·les, estenem una llibreria de cel·les estàndard amb dissenys que incorporen connexions laterals. També proposem modificacions locals al placement per permetre explotar aquest tipus de connexions més sovint. Els resultats experimentals mostren una reducció significativa en el nombre de pins, vies i nombre de violacions de regles de disseny, amb un impacte negligible en wirelength i timing. La segona contribució, desenvolupada en col·laboració amb eSilicon (una empresa capdavantera en disseny ASIC), és el desenvolupament de HiDaP, una eina de macro placement per a dissenys industrials actuals. La proposta segueix un procés multinivell per fer el floorplan de blocks jeràrquics, formats per macros i cel·les estàndard. Mitjançant la informació RTL disponible en la netlist, l'afinitat de dataflow entre els mòduls es modela i minimitza per trobar macro placements amb bones propietats de wirelength i timing. La proposta també incorpora la possibilitat de rebre input addicional de l'enginyer, com ara suggeriments de les posicions de les macros. Finalment, també usa mètodes espectrals i de forçes per guiar la cerca de floorplans. Els resultats experimentals mostren que els dissenys generats amb HiDaP són millors que els obtinguts per eines comercials capdavanteres de EDA. Els resultats també mostren que els dissenys presentats poden obtenir un wirelength similar i millor timing que macro placements obtinguts manualment, usats per fabricació. Alguns dissenys obtinguts per HiDaP s'han dut fins a timing-closure en una o dues rondes de modificacions incrementals per part d'enginyers de disseny físic. L'eina s'ha integrat en el procés de disseny de eSilicon i el seu desenvolupament continua més enllà de les aportacions a aquesta tesi.
Стилі APA, Harvard, Vancouver, ISO та ін.
5

Bronnimann, Heather. "Functional Analysis of Interactions within the TCR-CD3-pMHC-CD4 Macro-complex." Diss., The University of Arizona, 2016. http://hdl.handle.net/10150/612427.

Повний текст джерела
Анотація:
CD4⁺ T cells are a critical component of the adaptive immune compartment. Each T cell expresses a clonotypic T cell receptor (TCR) that must discriminate between self and foreign peptides presented in major histocompatibility molecules (pMHC) on the surface of antigen presenting cells to direct T cell fate decisions. Information regarding TCR-pMHC interactions must then be transmitted to the TCR-associated CD3 signaling modules, which contain ITAMs that serve as signaling substrates for Src kinases. The Src kinase, Lck, is recruited to the pMHC-bound TCR-CD3 complex via association with the CD4 coreceptor that binds MHCII. It is therefore through the coordinated interactions within the TCR-CD3-pMHC-CD4 macro-complex that productive TCR signaling can occur to inform T cell activation and fate decisions. While much is known regarding the structure of the individual subunits that make up the TCR-CD3-pMHC-CD4 macro-complex, there is little information regarding how these components come together to initiate TCR signaling and determine functional outcomes. Here, we have interrogated how interaction of these individual components leads to productive T cell activation. Specifically, we interrogated the nature of TCR-MHC interactions and provide evidence that there is intrinsic specificity of the TCR for MHCII. We have also built mouse models to determine the role of TCR-CD3 interactions and TCR dimerization in the transmission of information from the TCR to the CD3 subunits following TCR-pMHC engagement. Finally, we show that both the CD4 transmembrane and extracellular domains contribute to T cell activation in vitro. Overall, this work provides insight into how the constituents of the TCR-CD3-pMHC-CD4 macro-complex interact to initiate T cell fate and function.
Стилі APA, Harvard, Vancouver, ISO та ін.
6

Han, Guang. "The synergistic role of hierarchical macro- and mesoporous implant surface and microscopic view of enhanced osseointegration." Doctoral thesis, Stockholms universitet, Institutionen för material- och miljökemi (MMK), 2015. http://urn.kb.se/resolve?urn=urn:nbn:se:su:diva-120584.

Повний текст джерела
Анотація:
The trend for designing of a titanium implant explored using different chemical compositions and crystallinity materials until people realized that the implant surface character was another important factor affecting the rate and extent of osseointegartion. Titanium received a macroporous titania surface layer by anodization, which contains open pores with average pore diameter around 5μm. An additional mesoporous titania top layer was created that followed the contour of the macropores and having 100–200 nm thickness and a pore diameter of 10 nm. Thus, a coherent laminar titania surface layer was obtained producing a hierarchical macro- and mesoporous surface. The interfacial bonding between the surface layers and the titanium matrix was characterized by a scratch test that confirmed a stable and strong bonding of the laminar titania surface layers upon titanium. The wettability to water and the effects on the osteosarcoma cell line (SaOS-2) proliferation and mineralization of the formed titania surface layers were studied systematically by cell culture and scanning electron microscopy (SEM). A synergistic role of the hierarchical macro- and mesoporosities was revealed in terms of enhancing cell adhesion, proliferation and mineralization, when compared with the titania surface with solo porosity scale topography. For the in vivo results of the evaluation of osseointegration, an argon ion beam polishing technique was applied to prepare the cross sections of implants feasible for the high resolution SEM investigation. The interfacial microstructure between newly formed bone and implants with four modified surfaces including the new hierarchical macro- and mesoporous implant surface retrieved after in vivo tests were characterized. By this approach it has become possible to directly observe early bone formation, the increase of bone density, and the evolution of bone structure. The two bone growth mechanisms, distant osteogenesis and contact osteogenesis, can also be distinguished. These direct observations give, at microscopic level, a better view of osseointegration and explain the functional mechanisms of various implant surfaces for osseointegration.

At the time of the doctoral defense, the following papers were unpublished and had a status as follows: Paper 3: In press. Paper 4: Manuscript.

Стилі APA, Harvard, Vancouver, ISO та ін.
7

McSloy, Alexandra. "Regulation of macro- and micro-vascular endothelial cell survival by leptin and thrombin: signalling mechanisms and functional relevance." Thesis, Royal Veterinary College (University of London), 2013. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.618292.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
8

Pardon, Gaspard. "From Macro to Nano : Electrokinetic Transport and Surface Control." Doctoral thesis, KTH, Mikro- och nanosystemteknik, 2014. http://urn.kb.se/resolve?urn=urn:nbn:se:kth:diva-144994.

Повний текст джерела
Анотація:
Today, the growing and aging population, and the rise of new global threats on human health puts an increasing demand on the healthcare system and calls for preventive actions. To make existing medical treatments more efficient and widely accessible and to prevent the emergence of new threats such as drug-resistant bacteria, improved diagnostic technologies are needed. Potential solutions to address these medical challenges could come from the development of novel lab-on-chip (LoC) for point-of-care (PoC) diagnostics. At the same time, the increasing demand for sustainable energy calls for the development of novel approaches for energy conversion and storage systems (ECS), to which micro- and nanotechnologies could also contribute. This thesis has for objective to contribute to these developments and presents the results of interdisciplinary research at the crossing of three disciplines of physics and engineering: electrokinetic transport in fluids, manufacturing of micro- and nanofluidic systems, and surface control and modification. By combining knowledge from each of these disciplines, novel solutions and functionalities were developed at the macro-, micro- and nanoscale, towards applications in PoC diagnostics and ECS systems. At the macroscale, electrokinetic transport was applied to the development of a novel PoC sampler for the efficient capture of exhaled breath aerosol onto a microfluidic platform. At the microscale, several methods for polymer micromanufacturing and surface modification were developed. Using direct photolithography in off-stoichiometry thiol-ene (OSTE) polymers, a novel manufacturing method for mold-free rapid prototyping of microfluidic devices was developed. An investigation of the photolithography of OSTE polymers revealed that a novel photopatterning mechanism arises from the off-stoichiometric polymer formulation. Using photografting on OSTE surfaces, a novel surface modification method was developed for the photopatterning of the surface energy. Finally, a novel method was developed for single-step microstructuring and micropatterning of surface energy, using a molecular self-alignment process resulting in spontaneous mimicking, in the replica, of the surface energy of the mold. At the nanoscale, several solutions for the study of electrokinetic transport toward selective biofiltration and energy conversion were developed. A novel, comprehensive model was developed for electrostatic gating of the electrokinetic transport in nanofluidics. A novel method for the manufacturing of electrostatically-gated nanofluidic membranes was developed, using atomic layer deposition (ALD) in deep anodic alumina oxide (AAO) nanopores. Finally, a preliminary investigation of the nanopatterning of OSTE polymers was performed for the manufacturing of polymer nanofluidic devices.

QC 20140509


Rappid
NanoGate
Norosensor
Стилі APA, Harvard, Vancouver, ISO та ін.
9

Sandin, Mats, and Magnus Fransson. "Framework for Calibration of a Traffic State Space Model." Thesis, Linköpings universitet, Kommunikations- och transportsystem, 2012. http://urn.kb.se/resolve?urn=urn:nbn:se:liu:diva-85342.

Повний текст джерела
Анотація:
To evaluate the traffic state over time and space, several models can be used. A typical model for estimating the state of the traffic for a stretch of road or a road network is the cell transmission model, which is a form of state space model. This kind of model typically needs to be calibrated since the different roads have different properties. This thesis will present a calibration framework for the velocity based cell transmission model, the CTM-v. The cell transmission model for velocity is a discrete time dynamical system that can model the evolution of the velocity field on highways. Such a model can be fused with an ensemble Kalman filter update algorithm for the purpose of velocity data assimilation. Indeed, enabling velocity data assimilation was the purpose for ever developing the model in the first place and it is an essential part of the Mobile Millennium research project. Therefore a systematic methodology for calibrating the cell transmission is needed. This thesis presents a framework for calibration of the velocity based cell transmission model that is combined with the ensemble Kalman filter. The framework consists of two separate methods, one is a statistical approach to calibration of the fundamental diagram. The other is a black box optimization method, a simplification of the complex method that can solve inequality constrained optimization problems with non-differentiable objective functions. Both of these methods are integrated with the existing system, yielding a calibration framework, in particular highways were stationary detectors are part of the infrastructure. The output produced by the above mentioned system is highly dependent on the values of its characterising parameters. Such parameters need to be calibrated so as to make the model a valid representation of reality. Model calibration and validation is a process of its own, most often tailored for the researchers models and purposes. The combination of the two methods are tested in a suit of experiments for two separate highway models of Interstates 880 and 15, CA which are evaluated against travel time and space mean speed estimates given by Bluetooth detectors with an error between 7.4 and 13.4 % for the validation time periods depending on the parameter set and model.
Стилі APA, Harvard, Vancouver, ISO та ін.
10

CICCHETTI, ALESSANDRO. "Analysis of Radiation Effects in Cancer Patients: from the Macro-scale of Organ Symptoms to the Micro-scale of Cell Death." Doctoral thesis, Università degli studi di Pavia, 2020. http://hdl.handle.net/11571/1317087.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.

Книги з теми "Macro cell"

1

Yuan, Xiaoning. Engineering the Cell Environment for Meniscus Repair: From Micro- to Macro-scale. [New York, N.Y.?]: [publisher not identified], 2014.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
2

K, Kokula Krishna Hari, ed. VHDL Implementation of USB Transceiver Macro cell Interface with 2.0 Specifications: ICIEMS 2014. India: Association of Scientists, Developers and Faculties, 2014.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
3

Motorola. Converting LSTTL designs to Motorola CMOS macro cells and standard cells. [s.l.]: Motorola, 1986.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
4

Ruth, Mark F. Macro System Model (MSM) user guide version 1.3. Golden, CO: National Renewable Energy Laboratory, 2011.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
5

Matsumura, Masanobu, ed. Erosion-Corrosion An Introduction to Flow Induced Macro-Cell. BENTHAM SCIENCE PUBLISHERS, 2012. http://dx.doi.org/10.2174/97816080535131120101.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
6

Matsumura, Masanobu. Erosion-Corrosion: An Introduction to Flow Induced Macro-Cell Corrosion. Bentham Science Publishers, 2018.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
7

Torabi, Farschad, and Gholam Reza Molaeimanesh. Fuel Cell Modeling and Simulation: From Micro-Scale to Macro-Scale. Elsevier, 2022.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
8

Torabi, Farschad, and Gholam Reza Molaeimanesh. Fuel Cell Modeling and Simulation: From Micro-Scale to Macro-Scale. Elsevier, 2022.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
9

Schwemmler, Werner. Symbiogenesis: A Macro-Mechanism of Evolution - Progress Towards a Unified Theory of Evolution Based on Studies in Cell Biology. De Gruyter, Inc., 1989.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
10

Schwemmler, Werner. Symbiogenesis. A Macro-Mechanism of Evolution: Progress Towards a Unified Theory of Evolution Based on Studies in Cell Biology. De Gruyter, Inc., 2019.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.

Частини книг з теми "Macro cell"

1

Sarrafzadeh, Majid, Maogang Wang, and Xiaojian Yang. "Macro-Cell Placement." In Modern Placement Techniques, 159–74. Boston, MA: Springer US, 2003. http://dx.doi.org/10.1007/978-1-4757-3781-3_9.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
2

Enescu, Mihai, Amitava Ghosh, Bishwarup Mondal, and Antti Toskala. "LTE Macro Cell Evolution." In LTE Small Cell Optimization, 175–93. Chichester, UK: John Wiley & Sons Ltd, 2015. http://dx.doi.org/10.1002/9781118912560.ch11.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
3

Tsai, Chia-Hung Dylan. "Single Cell Manipulation Using Macro-scale Actuator." In Handbook of Single Cell Technologies, 1–19. Singapore: Springer Singapore, 2020. http://dx.doi.org/10.1007/978-981-10-4857-9_23-1.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
4

Tsai, Chia-Hung Dylan. "Single Cell Manipulation Using Macro-scale Actuator." In Handbook of Single-Cell Technologies, 137–54. Singapore: Springer Singapore, 2021. http://dx.doi.org/10.1007/978-981-10-8953-4_23.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
5

Ignatov, Sergei Georgievich, A. G. Voloshin, G. P. Bachurina, S. Yu Filippovich, and Ivan Alekseevich Dyatlov. "Is It Possible to Detect Less Than One Bacterial Cell?" In Macro, Micro, and Nano-Biosensors, 57–71. Cham: Springer International Publishing, 2021. http://dx.doi.org/10.1007/978-3-030-55490-3_4.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
6

Sechen, Carl. "Interconnect-Area Estimation for Macro Cell Placements." In The Kluwer International Series in Engineering and Computer Science, 181–98. Boston, MA: Springer US, 1988. http://dx.doi.org/10.1007/978-1-4613-1697-8_6.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
7

Sathiamoorthy, S., and G. Andaljayalakshmi. "Hybrid Genetic Algorithm for VLSI Macro Cell Layout." In Soft Computing and Industry, 791–98. London: Springer London, 2002. http://dx.doi.org/10.1007/978-1-4471-0123-9_66.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
8

Simsek, Meryem, and Andreas Czylwik. "Cell Selection Modes in LTE Macro-Femtocell Deployment." In Heterogeneous Cellular Networks, 215–45. Oxford, UK: John Wiley & Sons Ltd, 2013. http://dx.doi.org/10.1002/9781118555262.ch10.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
9

van Hinsbergh, V. W. M., M. A. Scheffer, and E. G. Langeler. "Macro- and Microvascular Endothelial Cells from Human Tissues." In Cell Culture Techniques in Heart and Vessel Research, 178–204. Berlin, Heidelberg: Springer Berlin Heidelberg, 1990. http://dx.doi.org/10.1007/978-3-642-75262-9_12.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
10

Gamage, Amila Tharaperiya, and Xuemin Shen. "Resource Allocation for Interworking Macro Cell and Hyper-Dense Small Cell Networks." In SpringerBriefs in Electrical and Computer Engineering, 65–80. Cham: Springer International Publishing, 2017. http://dx.doi.org/10.1007/978-3-319-64268-0_5.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.

Тези доповідей конференцій з теми "Macro cell"

1

Dhifallah, Khaoula, Yvon Gourhant, and Sidi-Mohammed Senouci. "Cell selection game in heterogeneous macro-small cell networks." In ICC 2017 - 2017 IEEE International Conference on Communications. IEEE, 2017. http://dx.doi.org/10.1109/icc.2017.7996440.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
2

Jami, I. "Micro-cell planning within macro-cells in UMTS: downlink analysis." In Third International Conference on 3G Mobile Communication Technologies. IEE, 2002. http://dx.doi.org/10.1049/cp:20020391.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
3

Marcano, Andrea S., and Henrik L. Christiansen. "Macro Cell Assisted Cell Discovery Method for 5G Mobile Networks." In 2016 IEEE 83rd Vehicular Technology Conference (VTC Spring). IEEE, 2016. http://dx.doi.org/10.1109/vtcspring.2016.7504334.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
4

Upton, Michael, Khosrow Samii, and Stephen Sugiyama. "Integrated placement for mixed macro cell and standard cell designs." In Conference proceedings. New York, New York, USA: ACM Press, 1990. http://dx.doi.org/10.1145/123186.123219.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
5

Boiarski, Anthony A., James R. Busch, Ballwant S. Bhullar, Richard W. Ridgway, and Van E. Wood. "Integrated optic sensor with macro-flow cell." In Fibers '92, edited by Massood Tabib-Azar and Dennis L. Polla. SPIE, 1993. http://dx.doi.org/10.1117/12.141217.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
6

Makoto Watanabe, Keiichiro Ishihara, Takeyuki Tsuruma, Yasuhiko Iguchi, Yoshiharu Nakajima, and Yasuhito Maki. "Macro-modeling of liquid crystal cell with VerilogA." In 2007 IEEE International Behavioral Modeling and Simulation Workshop. IEEE, 2007. http://dx.doi.org/10.1109/bmas.2007.4437539.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
7

Lorenz, Dominik, Martin Barke, and Ulf Schlichtmann. "Aging analysis at gate and macro cell level." In 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD). IEEE, 2010. http://dx.doi.org/10.1109/iccad.2010.5654309.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
8

Liu, Z. Y., L. X. Guo, and X. W. Guan. "Accelerated ray tracing algorithm under urban macro cell." In SPIE Remote Sensing, edited by Bormin Huang, Sebastián López, Zhensen Wu, Jose M. Nascimento, Boris A. Alpatov, and Jordi Portell de Mora. SPIE, 2015. http://dx.doi.org/10.1117/12.2197107.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
9

Bechta, Kamil, Cezary Ziolkowski, Jan M. Kelner, and Leszek Nowosielski. "Downlink Interference in Multi-Beam 5G Macro-Cell." In 2020 23rd International Microwave and Radar Conference (MIKON). IEEE, 2020. http://dx.doi.org/10.23919/mikon48703.2020.9253919.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
10

Mitra, Shubhadip, Sayan Ranu, Vinay Kolar, Aditya Telang, Arnab Bhattacharya, Ravi Kokku, and Sriram Raghavan. "Trajectory aware macro-cell planning for mobile users." In IEEE INFOCOM 2015 - IEEE Conference on Computer Communications. IEEE, 2015. http://dx.doi.org/10.1109/infocom.2015.7218449.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.

Звіти організацій з теми "Macro cell"

1

Gu, Wenbin. Investigation of Micro- and Macro-Scale Transport Processes for Improved Fuel Cell Performance. Office of Scientific and Technical Information (OSTI), August 2014. http://dx.doi.org/10.2172/1169279.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
2

Thompson and Lawson. L51801 Impact of Short-Term Depolarization of Pipelines. Chantilly, Virginia: Pipeline Research Council International, Inc. (PRCI), February 1999. http://dx.doi.org/10.55274/r0010341.

Повний текст джерела
Анотація:
The objectives of this project were to identify the negative impacts of extended depolarization of a pipeline with respect to measuring a 100 mV depolarization criteria and secondly to establish procedures for estimating the appropriate time over which depolarization is measured. The effort included laboratory testing for examining macro-cell couples and coating performance and field testing to examine depolarization phenomena associated with operating pipelines.
Стилі APA, Harvard, Vancouver, ISO та ін.
Ми пропонуємо знижки на всі преміум-плани для авторів, чиї праці увійшли до тематичних добірок літератури. Зв'яжіться з нами, щоб отримати унікальний промокод!

До бібліографії