Добірка наукової літератури з теми "High level Synthesi"

Оформте джерело за APA, MLA, Chicago, Harvard та іншими стилями

Оберіть тип джерела:

Ознайомтеся зі списками актуальних статей, книг, дисертацій, тез та інших наукових джерел на тему "High level Synthesi".

Біля кожної праці в переліку літератури доступна кнопка «Додати до бібліографії». Скористайтеся нею – і ми автоматично оформимо бібліографічне посилання на обрану працю в потрібному вам стилі цитування: APA, MLA, «Гарвард», «Чикаго», «Ванкувер» тощо.

Також ви можете завантажити повний текст наукової публікації у форматі «.pdf» та прочитати онлайн анотацію до роботи, якщо відповідні параметри наявні в метаданих.

Статті в журналах з теми "High level Synthesi"

1

Rajan, S. P., M. Fujita, K. Yuan, and M. T.-C. Lee. "ATM switch design by high-level modeling, formal verification and high-level synthesi." ACM Transactions on Design Automation of Electronic Systems 3, no. 4 (October 1998): 554–62. http://dx.doi.org/10.1145/296333.296342.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
2

Yang, Hae-Chan, Sang-Jun Park, Kwoan-Young Park, Jae-Hyun Sa, and Tae-Hwan Kim. "High-level Synthesis Design and Implementation of an Efficient Capsule Network Inference System in an FPGA." Journal of the Institute of Electronics and Information Engineers 58, no. 11 (November 30, 2021): 39–47. http://dx.doi.org/10.5573/ieie.2021.58.11.39.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
3

Bolton, Martin. "High-level synthesis." Microprocessors and Microsystems 18, no. 8 (October 1994): 489. http://dx.doi.org/10.1016/0141-9331(94)90097-3.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
4

Pawlak, Adam. "High-level synthesis." Microprocessing and Microprogramming 35, no. 1-5 (September 1992): 261. http://dx.doi.org/10.1016/0165-6074(92)90325-2.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
5

YAMAMOTO, Takahiro. "Safety assessment of high-level nuclear waste disposal in Japan from the standpoint of geology." Synthesiology English edition 4, no. 4 (2012): 202–11. http://dx.doi.org/10.5571/syntheng.4.202.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
6

Ravi, Selvaraj, and M. Joseph. "High-Level Test Synthesis." ACM Transactions on Design Automation of Electronic Systems 19, no. 4 (August 2014): 1–27. http://dx.doi.org/10.1145/2627754.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
7

Ewering, Christian, and Gunter Gerhardt. "PASS: High level synthesis." Microprocessing and Microprogramming 30, no. 1-5 (August 1990): 103–8. http://dx.doi.org/10.1016/0165-6074(90)90225-x.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
8

Xing, Xianwu, and Ching Chuen Jong. "Floorplan-Driven Multivoltage High-Level Synthesis." VLSI Design 2009 (September 6, 2009): 1–10. http://dx.doi.org/10.1155/2009/156751.

Повний текст джерела
Анотація:
As the semiconductor technology advances, interconnect plays a more and more important role in power consumption in VLSI systems. This also imposes a challenge in high-level synthesis, in which physical information is limited and conventionally considered after high-level synthesis. To close the gap between high-level synthesis and physical implementation, integration of physical synthesis and high-level synthesis is essential. In this paper, a technique named FloM is proposed for integrating floorplanning into high-level synthesis of VLSI system with multivoltage datapath. Experimental results obtained show that the proposed technique is effective and the energy consumed by both the datapath and the wires can be reduced by more than 40%.
Стилі APA, Harvard, Vancouver, ISO та ін.
9

Dossis, M. "High-level Synthesis Integrated Verification." Engineering, Technology & Applied Science Research 5, no. 5 (October 4, 2015): 864–70. http://dx.doi.org/10.48084/etasr.596.

Повний текст джерела
Анотація:
It is widely known in the engineering community that more than 60% of the IC design project time is spent on verification. For the very complex contemporary chips, this may prove prohibitive for the IC to arrive at the correct time in the market and therefore, valuable sales share may be lost by the developing industry. This problem is deteriorated by the fact that most of conventional verification flows are highly repetitive and a great proportion of the project time is spent on last-moment simulations. In this paper we present an integrated approach to rapid, high-level verification, exploiting the advantages of a formal High-level Synthesis tool, developed by the author. Verification in this work is supported at 3 levels: high-level program code, RTL simulation and rapid, generated C testbench execution. This paper is supported by strong experimental work with 3-4 popular design synthesis and verification that proves the principles of our methodology.
Стилі APA, Harvard, Vancouver, ISO та ін.
10

Gajski, D. D., and L. Ramachandran. "Introduction to high-level synthesis." IEEE Design & Test of Computers 11, no. 4 (1994): 44–54. http://dx.doi.org/10.1109/54.329454.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.

Дисертації з теми "High level Synthesi"

1

Amarasinghe, V. Kosala I. "Distributed high-level synthesis." Thesis, University of Southampton, 2005. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.438696.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
2

Langer, Jan. "High-Level-Synthese von Operationseigenschaften." Doctoral thesis, Universitätsbibliothek Chemnitz, 2011. http://nbn-resolving.de/urn:nbn:de:bsz:ch1-qucosa-79059.

Повний текст джерела
Анотація:
In der formalen Verifikation digitaler Schaltkreise hat sich die Methodik der vollständigen Verifikation anhand spezieller Operationseigenschaften bewährt. Operationseigenschaften beschreiben das Verhalten einer Schaltung in einem festen Zeitintervall und können sequentiell miteinander verknüpft werden, um so das Gesamtverhalten zu spezifizieren. Zusätzlich beweist eine formale Vollständigkeitsprüfung, dass die Menge der Eigenschaften für jede Folge von Eingangssignalwerten die Ausgänge der zu verifizierenden Schaltung eindeutig und lückenlos determiniert. In dieser Arbeit wird untersucht, wie aus Operationseigenschaften, deren Vollständigkeit erfolgreich bewiesen wurde, automatisiert eine Schaltungsbeschreibung abgeleitet werden kann. Gegenüber der traditionellen Entwurfsmethodik auf Register-Transfer-Ebene (RTL) bietet dieses Verfahren zwei Vorteile. Zum einen vermeidet der Vollständigkeitsbeweis viele Arten von Entwurfsfehlern, zum anderen ähnelt eine Beschreibung mit Hilfe von Operationseigenschaften den in Spezifikationen häufig genutzten Zeitdiagrammen, sodass die Entwurfsebene der Spezifikationsebene angenähert wird und Fehler durch manuelle Verfeinerungsschritte vermieden werden. Das Entwurfswerkzeug vhisyn führt die High-Level-Synthese (HLS) einer vollständigen Menge von Operationseigenschaften zu einer Beschreibung auf RTL durch. Die Ergebnisse zeigen, dass sowohl die verwendeten Synthesealgorithmen, als auch die erzeugten Schaltungen effizient sind und somit die Realisierung größerer Beispiele zulassen. Anhand zweier Fallstudien kann dies praktisch nachgewiesen werden
The complete verification approach using special operation properties is an accepted methodology for the formal verification of digital circuits. Operation properties describe the behavior of a circuit during a certain time interval. They can be sequentially concatenated in order to specify the overall behavior. Additionally, a formal completeness check proves that the sequence of properties consistently determines the exact value of the output signals for every valid sequence of input signal values. This work examines how a circuit description can be automatically derived from a set of operation properties whose completeness has been proven. In contrast to the traditional design flow at register-transfer level (RTL), this method offers two advantages. First, the prove of completeness helps to avoid many design errors. Second, the design of operation properties resembles the design of timing diagrams often used in textual specifications. Therefore, the design level is closer to the specification level and errors caused by refinement steps are avoided. The design tool vhisyn performs the high-level synthesis from a complete set of operation properties to a description at RTL. The results show that both the synthesis algorithms and the generated circuit descriptions are efficient and allow the design of larger applications. This is demonstrated by means of two case studies
Стилі APA, Harvard, Vancouver, ISO та ін.
3

Baidas, Zaher Abdulkarim. "High-level floating-point synthesis." Thesis, University of Southampton, 2000. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.325049.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
4

Abbas, Naeem. "Acceleration of a bioinformatics application using high-level synthesis." Phd thesis, École normale supérieure de Cachan - ENS Cachan, 2012. http://tel.archives-ouvertes.fr/tel-00847076.

Повний текст джерела
Анотація:
The revolutionary advancements in the field of bioinformatics have opened new horizons in biological and pharmaceutical research. However, the existing bioinformatics tools are unable to meet the computational demands, due to the recent exponential growth in biological data. So there is a dire need to build future bioinformatics platforms incorporating modern parallel computation techniques. In this work, we investigate FPGA based acceleration of these applications, using High-Level Synthesis. High-Level Synthesis tools enable automatic translation of abstract specifications to the hardware design, considerably reducing the design efforts. However, the generation of an efficient hardware using these tools is often a challenge for the designers. Our research effort encompasses an exploration of the techniques and practices, that can lead to the generation of an efficient design from these high-level synthesis tools. We illustrate our methodology by accelerating a widely used application -- HMMER -- in bioinformatics community. HMMER is well-known for its compute-intensive kernels and data dependencies that lead to a sequential execution. We propose an original parallelization scheme based on rewriting of its mathematical formulation, followed by an in-depth exploration of hardware mapping techniques of these kernels, and finally show on-board acceleration results. Our research work demonstrates designing flexible hardware accelerators for bioinformatics applications, using design methodologies which are more efficient than the traditional ones, and where resulting designs are scalable enough to meet the future requirements.
Стилі APA, Harvard, Vancouver, ISO та ін.
5

Lawrence, Bleddyn Idris. "High level synthesis with interconnect prediction." Thesis, University of Southampton, 2005. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.437114.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
6

Yeung, Ping F. "High-level synthesis of VLSI circuits." Thesis, University of Edinburgh, 1992. http://hdl.handle.net/1842/11647.

Повний текст джерела
Анотація:
Following the widespread acceptance and application of logic synthesis, we are on the way to establishing synthesis methodologies which can handle higher levels of abstraction. High-level synthesis is the focal point. It should be able to take a behavioural description of the design, a set of constraints and goals, then construct a structural implementation that performs the circuit function while satisfying the constraints. In order to ensure a smooth transformation and mapping of high-level description onto hardware, a new strategy for high-level synthesis, flexibility damping, is introduced. It allows a large design space to be explored progressively and systematically. It facilitates the propagation of constraints and helps the introduction of user-specified information. To carry out the strategy, two algorithms, resource restricted scheduling and integrated concurrent mapping are developed. Resource restricted scheduling handles complex control structures and schedules operations across basic blocks in order to utilise all the available resources. After the scheduling has established the flexibility of the abstract elements, concurrent mapping is performed to bind operations, storage, and communications onto functional units, register files and buses concurrently. By considering all the resources at the same time, this mapping process ensures an overall minimum cost of implementation.
Стилі APA, Harvard, Vancouver, ISO та ін.
7

Fallside, Hamish. "High level synthesis of memory architectures." Thesis, University of Edinburgh, 1995. http://hdl.handle.net/1842/10882.

Повний текст джерела
Анотація:
The development of high level tools for electronic design has been driven by the increasing demands of an ever more complex design process. The diversification in the use of electronic circuitry requires design tools tailored to application specific domains. Intelligent synthesis requires domain specific knowledge in addition to general synthesis techniques. The preponderance of synthesis systems in domains such as Digital Signal Processing is indicative of this need. Methods are presented here for the synthesis of memory architectures in one such domain: image processing. The research concentrates on performance synthesis. The techniques presented aim to optimise the design so as to minimise the memory access bottleneck of the eventual hardware implementation. The development of a synthesis system is described which serves to support the research. Algorithmic descriptions, coded in C, are processed by the tool in order to produce a structural description of a memory architecture able to implement the presented algorithms in hardware. Data flow and dependence analysis techniques are employed, these address the "high levelness" of the input algorithm, an important task if the designer is to be relieved of low level design detail. Methods for organising the algorithm's data in, and it's access from memory are presented, and experimental results are included. The organisation of data in memory is accomplished as part of the scheduling process for the user algorithm. The methods aim to optimise the hardware implementation by maximising the utilisation of the memory resources allocated during synthesis. In dealing with the access of data from memory, methods are presented for the automatic detection of memory inefficient structures in the user description, and their transformation into a representation yielding synthesised designs with greater memory throughput. Such designs are better able to support the user's algorithms within desired performance limitations. Examples are included which provide an evaluation of the techniques' efficacy.
Стилі APA, Harvard, Vancouver, ISO та ін.
8

Finlay, Iain William. "High-level synthesis using structural input." Thesis, University of Edinburgh, 1992. http://hdl.handle.net/1842/14849.

Повний текст джерела
Анотація:
The task of a high-level behavioural synthesis system is to create a structure to implement a given abstract specification of behaviour. The behaviour is specified at the algorithmic level, typically in the form of a high-level programming language. The synthesized structure is described at the register-transfer level. In such systems the synthesis task is guided only by the behaviour and some physical design constraints such as speed and area. This approach frequently leads to difficulties in synthesizing a suitable architecture. The synthesis system reported in this thesis tackles this problem by enabling the designer to specify structural input alongside the behaviour. The structural input is described at the register-transfer level and need not define a complete structure. The synthesis tool makes use of this input structure by incorporating it into the design where appropriate or as instructed. This structurally directed approach is shown to give the designer greater control over structural aspects of the design in addition to enabling greater exploration of possible structural solutions.
Стилі APA, Harvard, Vancouver, ISO та ін.
9

Schmidt, Marco, Ulrich Möhrke, and Paul Herrmann. "Verhaltensbeschreibung in der High-Level Synthese." Universität Leipzig, 1996. https://ul.qucosa.de/id/qucosa%3A34506.

Повний текст джерела
Анотація:
Was versteht man unter High-Level Synthese? Wie beschreibt man das Verhalten einer Schaltung in VHDL? Diese zwei Fragen sollen hier erörtert werden. Zuerst wird kurz das High-Level Synthese Programm Caddy vorgestellt und die internen Verarbeitungsschritte kurz aufgezeigt. Dann werden die verschiedenen Stufen der Schaltungsbeschreibbung mit ihren jeweiligen Vor- und Nachteilen diskutiert. Zum Schluss wird noch auf die Grenzen von VHDL-Verhaltensbeschreibungen eingegangen und mögliche Lösungsvorschläge gemacht, um diese Grenzen zu erweitern. Es wird im Grossen und Ganzen nur die momentane Entwicklung zusammengefasst. Dabei soll dieser Bericht auch als Anleitung zur VHDL-Verhaltensbeschreibung dienen.
Стилі APA, Harvard, Vancouver, ISO та ін.
10

Uguen, Yohann. "High-level synthesis and arithmetic optimizations." Thesis, Lyon, 2019. http://www.theses.fr/2019LYSEI099.

Повний текст джерела
Анотація:
À cause de la nature relativement jeune des outils de synthèse de haut-niveau (HLS), de nombreuses optimisations arithmétiques n'y sont pas encore implémentées. Cette thèse propose des optimisations arithmétiques se servant du contexte spécifique dans lequel les opérateurs sont instanciés. Certaines optimisations sont de simples spécialisations d'opérateurs, respectant la sémantique du C. D'autres nécessitent de s'éloigner de cette sémantique pour améliorer le compromis précision/coût/performance. Cette proposition est démontrée sur des sommes de produits de nombres flottants. La somme est réalisée dans un format en virgule-fixe défini par son contexte. Quand trop peu d’informations sont disponibles pour définir ce format en virgule-fixe, une stratégie est de générer un accumulateur couvrant l'intégralité du format flottant. Cette thèse explore plusieurs implémentations d'un tel accumulateur. L'utilisation d'une représentation en complément à deux permet de réduire le chemin critique de la boucle d'accumulation, ainsi que la quantité de ressources utilisées. Un format alternatif aux nombres flottants, appelé posit, propose d'utiliser un encodage à précision variable. De plus, ce format est augmenté par un accumulateur exact. Pour évaluer précisément le coût matériel de ce format, cette thèse présente des architectures d'opérateurs posits, implémentés avec le même degré d'optimisation que celui de l'état de l'art des opérateurs flottants. Une analyse détaillée montre que le coût des opérateurs posits est malgré tout bien plus élevé que celui de leurs équivalents flottants. Enfin, cette thèse présente une couche de compatibilité entre outils de HLS, permettant de viser plusieurs outils avec un seul code. Cette bibliothèque implémente un type d'entiers de taille variable, avec de plus une sémantique strictement typée, ainsi qu'un ensemble d'opérateurs ad-hoc optimisés
High-level synthesis (HLS) tools offer increased productivity regarding FPGA programming. However, due to their relatively young nature, they still lack many arithmetic optimizations. This thesis proposes safe arithmetic optimizations that should always be applied. These optimizations are simple operator specializations, following the C semantic. Other require to a lift the semantic embedded in high-level input program languages, which are inherited from software programming, for an improved accuracy/cost/performance ratio. To demonstrate this claim, the sum-of-product of floating-point numbers is used as a case study. The sum is performed on a fixed-point format, which is tailored to the application, according to the context in which the operator is instantiated. In some cases, there is not enough information about the input data to tailor the fixed-point accumulator. The fall-back strategy used in this thesis is to generate an accumulator covering the entire floating-point range. This thesis explores different strategies for implementing such a large accumulator, including new ones. The use of a 2's complement representation instead of a sign+magnitude is demonstrated to save resources and to reduce the accumulation loop delay. Based on a tapered precision scheme and an exact accumulator, the posit number systems claims to be a candidate to replace the IEEE floating-point format. A throughout analysis of posit operators is performed, using the same level of hardware optimization as state-of-the-art floating-point operators. Their cost remains much higher that their floating-point counterparts in terms of resource usage and performance. Finally, this thesis presents a compatibility layer for HLS tools that allows one code to be deployed on multiple tools. This library implements a strongly typed custom size integer type along side a set of optimized custom operators
Стилі APA, Harvard, Vancouver, ISO та ін.

Книги з теми "High level Synthesi"

1

Coussy, Philippe, and Adam Morawiec, eds. High-Level Synthesis. Dordrecht: Springer Netherlands, 2008. http://dx.doi.org/10.1007/978-1-4020-8588-8.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
2

Gajski, Daniel D., Nikil D. Dutt, Allen C.-H. Wu, and Steve Y.-L. Lin. High — Level Synthesis. Boston, MA: Springer US, 1992. http://dx.doi.org/10.1007/978-1-4615-3636-9.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
3

Camposano, Raul, and Wayne Wolf, eds. High-Level VLSI Synthesis. Boston, MA: Springer US, 1991. http://dx.doi.org/10.1007/978-1-4615-3966-7.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
4

Camposano, Raul. High-Level VLSI Synthesis. Boston, MA: Springer US, 1991.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
5

Winterstein, Felix. Separation Logic for High-level Synthesis. Cham: Springer International Publishing, 2017. http://dx.doi.org/10.1007/978-3-319-53222-6.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
6

Tamás, Visegrády, and Jankovits István, eds. High level synthesis of pipelined datapaths. Chichester, [England]: Wiley, 2001.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
7

Walker, Robert A., and Raul Camposano, eds. A Survey of High-Level Synthesis Systems. Boston, MA: Springer US, 1991. http://dx.doi.org/10.1007/978-1-4615-3968-1.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
8

1959-, Walker Robert A., and Camposano Raul, eds. A Survey of high-level synthesis systems. Boston: Kluwer, 1991.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
9

Khalid, Ayesha, Goutam Paul, and Anupam Chattopadhyay. Domain Specific High-Level Synthesis for Cryptographic Workloads. Singapore: Springer Singapore, 2019. http://dx.doi.org/10.1007/978-981-10-1070-5.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
10

Philippe, Coussy, and Morawiec Adam, eds. High-level synthesis: From algorithm to digital circuit. [New York]: Springer, 2008.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.

Частини книг з теми "High level Synthesi"

1

Derrien, Steven, Sanjay Rajopadhye, Patrice Quinton, and Tanguy Risset. "High-Level Synthesis of Loops Using the Polyhedral Model." In High-Level Synthesis, 215–30. Dordrecht: Springer Netherlands, 2008. http://dx.doi.org/10.1007/978-1-4020-8588-8_12.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
2

Aditya, Shail, and Vinod Kathail. "Algorithmic Synthesis Using PICO." In High-Level Synthesis, 53–74. Dordrecht: Springer Netherlands, 2008. http://dx.doi.org/10.1007/978-1-4020-8588-8_4.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
3

Wakabayashi, Kazutoshi, and Benjamin Carrion Schafer. "“All-in-C” Behavioral Synthesis and Verification with CyberWorkBench." In High-Level Synthesis, 113–27. Dordrecht: Springer Netherlands, 2008. http://dx.doi.org/10.1007/978-1-4020-8588-8_7.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
4

Coussy, Philippe, Cyrille Chavet, Pierre Bomel, Dominique Heller, Eric Senn, and Eric Martin. "GAUT: A High-Level Synthesis Tool for DSP Applications." In High-Level Synthesis, 147–69. Dordrecht: Springer Netherlands, 2008. http://dx.doi.org/10.1007/978-1-4020-8588-8_9.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
5

Gajski, Daniel D., Nikil D. Dutt, Allen C.-H. Wu, and Steve Y.-L. Lin. "Introduction." In High — Level Synthesis, 1–25. Boston, MA: Springer US, 1992. http://dx.doi.org/10.1007/978-1-4615-3636-9_1.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
6

Gajski, Daniel D., Nikil D. Dutt, Allen C.-H. Wu, and Steve Y.-L. Lin. "Architectural Models in Synthesis." In High — Level Synthesis, 27–61. Boston, MA: Springer US, 1992. http://dx.doi.org/10.1007/978-1-4615-3636-9_2.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
7

Gajski, Daniel D., Nikil D. Dutt, Allen C.-H. Wu, and Steve Y.-L. Lin. "Quality Measures." In High — Level Synthesis, 63–92. Boston, MA: Springer US, 1992. http://dx.doi.org/10.1007/978-1-4615-3636-9_3.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
8

Gajski, Daniel D., Nikil D. Dutt, Allen C.-H. Wu, and Steve Y.-L. Lin. "Design Description Languages." In High — Level Synthesis, 93–135. Boston, MA: Springer US, 1992. http://dx.doi.org/10.1007/978-1-4615-3636-9_4.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
9

Gajski, Daniel D., Nikil D. Dutt, Allen C.-H. Wu, and Steve Y.-L. Lin. "Design Representation and Transformations." In High — Level Synthesis, 137–77. Boston, MA: Springer US, 1992. http://dx.doi.org/10.1007/978-1-4615-3636-9_5.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
10

Gajski, Daniel D., Nikil D. Dutt, Allen C.-H. Wu, and Steve Y.-L. Lin. "Partitioning." In High — Level Synthesis, 179–212. Boston, MA: Springer US, 1992. http://dx.doi.org/10.1007/978-1-4615-3636-9_6.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.

Тези доповідей конференцій з теми "High level Synthesi"

1

"Session 3: High-level synthesis." In 2014 Electronic System Level Synthesis Conference (ESLsyn). IEEE, 2014. http://dx.doi.org/10.1109/eslsyn.2014.6850382.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
2

"Proceedings of 7th International Symposium on High-Level Synthesis." In Proceedings of 7th International Symposium on High-Level Synthesis. IEEE, 1994. http://dx.doi.org/10.1109/ishls.1994.302351.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
3

Mahapatra, Anushree, and Benjamin Carrion Schafer. "Machine-learning based simulated annealer method for high level synthesis design space exploration." In 2014 Electronic System Level Synthesis Conference (ESLsyn). IEEE, 2014. http://dx.doi.org/10.1109/eslsyn.2014.6850383.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
4

Sarma, Robin C., Mark D. Dooley, N. Craig Newman, and Graham Hetherington. "High-level synthesis." In Conference proceedings. New York, New York, USA: ACM Press, 1990. http://dx.doi.org/10.1145/123186.123399.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
5

Sinha, Sharad, and Wei Zhang. "SynDFG: Synthetic dataflow graph generator for high-level synthesis." In 2015 6th Asia Symposium on Quality Electronic Design (ASQED). IEEE, 2015. http://dx.doi.org/10.1109/acqed.2015.7274006.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
6

Boule, Marc, and Zeljko Zilic. "Efficient Automata-Based Assertion-Checker Synthesis of PSL Properties." In 2006 IEEE International High Level Design Validation and Test Workshop. IEEE, 2006. http://dx.doi.org/10.1109/hldvt.2006.319966.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
7

Nishihara, Tasuku, Takeshi Matsumoto, and Masahiro Fujita. "Equivalence Checking with Rule-Based Equivalence Propagation and High-Level Synthesis." In 2006 IEEE International High Level Design Validation and Test Workshop. IEEE, 2006. http://dx.doi.org/10.1109/hldvt.2006.319984.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
8

Schirner, Gunar. "Modeling, synthesis, and validation of heterogeneous biomedical embedded systems." In 2011 IEEE International High Level Design Validation and Test Workshop (HLDVT). IEEE, 2011. http://dx.doi.org/10.1109/hldvt.2011.6113984.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
9

Bonet, Blai, Giuseppe De Giacomo, Hector Geffner, Fabio Patrizi, and Sasha Rubin. "High-level Programming via Generalized Planning and LTL Synthesis." In 17th International Conference on Principles of Knowledge Representation and Reasoning {KR-2020}. California: International Joint Conferences on Artificial Intelligence Organization, 2020. http://dx.doi.org/10.24963/kr.2020/16.

Повний текст джерела
Анотація:
We look at program synthesis where the aim is to automatically synthesize a controller that operates on data structures and from which a concrete program can be easily derived. We do not aim at a fully-automatic process or tool that produces a program meeting a given specification of the program’s behaviour. Rather, we aim at the design of a clear and well-founded approach for supporting programmers at the design and implementation phases. Concretely, we first show that a program synthesis task can be modeled as a generalized planning problem. This is done at an abstraction level where the involved data structures are seen as black-boxes that can be interfaced with actions and observations, the first corresponding to the operations and the second to the queries provided by the data structure. The abstraction level is high enough to capture intuitive and common assumptions as well as general and simple strategies used by programmers, and yet it contains sufficient structure to support the automated generation of concrete solutions (in the form of controllers). From such controllers and the use of standard data structures, an actual program in a general language like C++ or Python can be easily obtained. Then, we discuss how the resulting generalized planning problem can be reduced to an LTL synthesis problem, thus making available any LTL synthesis engine for obtaining the controllers. We illustrate the effectiveness of the approach on a series of examples.
Стилі APA, Harvard, Vancouver, ISO та ін.
10

"High level synthesis (HLS)." In 2016 International Conference on Field-Programmable Technology (FPT). IEEE, 2016. http://dx.doi.org/10.1109/fpt.2016.7929516.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.

Звіти організацій з теми "High level Synthesi"

1

Bush, William R. High Level Synthesis in ASP. Fort Belvoir, VA: Defense Technical Information Center, August 1986. http://dx.doi.org/10.21236/ada172975.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
2

Amir, Rachel, David J. Oliver, Gad Galili, and Jacline V. Shanks. The Role of Cysteine Partitioning into Glutathione and Methionine Synthesis During Normal and Stress Conditions. United States Department of Agriculture, January 2013. http://dx.doi.org/10.32747/2013.7699850.bard.

Повний текст джерела
Анотація:
The objective of this research is to study the nature of the competition for cysteine (Cys), the first organic sulfur-containing compound, between its two main metabolites, glutathione (GSH) and methionine (Met). GSH plays a central role in protecting plants during various stresses, while Met, an essential amino acid, regulates essential processes and metabolites in plant cells through its metabolite S-adenosyl-Met. Our results, which are based on flux analysis and measurements of Met- metabolites, show that the flux towards Met synthesis is high during non-stress conditions, however the flux is significantly reduced under stress conditions, when there is high synthesis of GSH. Under oxidative stress the expression level of the regulatory enzyme of Met synthesis, cystathionine g-synthase (CGS) was reduced. By using three different systems, we have found that that GSH down regulates the expression level of CGS, thus reducing Met synthesis. We have found that this regulation occurs at the post-transcriptional level, and further studies have shown that it occurs at post-translationaly. To reveal how oxidative stress affects the flux towards Met and GSH, flux analysis was performed. We have found that the level of Met is significantly reduced, while the level of glutathione significantly increases during stress. Under stress conditions most of the glutathione is converted from GSH to GSSG (the oxidised form of glutathione). These results suggest that under normal growth conditions, Cys is channelled towards both pathways to support GSH accumulation and the synthesis of growth-essential Met metabolites. However, during oxidative stress, when a high level of GSH is required to protect the plants, the levels of GSH increase while those of CGS are reduced. This reduction leaves more Cys available for GSH synthesis under stress conditions. In addition we have also studied the effects of high GSH level on the transcriptome profile. The analysis revealed that GSH affects the expression level of many major genes coding to enzymes or proteins associated with photosynthesis, starch degradation, hormone metabolism (especially genes associated with jasmonate), biotic stress (especially genes associated with PR-proteins), cytochrome P450 genes, regulation of transcription and signaling (especially genes associated with receptor kinases and calcium). These results suggest that indeed GSH levels affect different pathways and metabolites in plants.
Стилі APA, Harvard, Vancouver, ISO та ін.
3

Jin, Zheming, Hal Finkel, Kazutomo Yoshii, and Franck Cappello. Evaluation of the FIR Example using Xilinx Vivado High-Level Synthesis Compiler. Office of Scientific and Technical Information (OSTI), July 2017. http://dx.doi.org/10.2172/1375449.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
4

Delmer, Deborah P., and Prem S. Chourey. The Importance of the Enzyme Sucrose Synthase for Cell Wall Synthesis in Plants. United States Department of Agriculture, October 1994. http://dx.doi.org/10.32747/1994.7568771.bard.

Повний текст джерела
Анотація:
The goal of this work was to understand the role of the enzyme sucrose synthase (SuSy) in synthesis of cellulose and callose in plants. The work resulting from the this grant leads to a number of conclusions. SuSy clearly plays diverse roles in carbon metabolism. It can associate with the plasma membrane of cells undergoing rapid cellulose deposition, such as cotton fibers, developing maize endosperm, gravistimulated pulvini, and transfer cells of the cotton seed. It is also concentrated at sites of high callose deposition (tapetal cells; cell plates). When SuSy levels are lowered by mutation or by anti-sense technology, cell walls undergo degeneration (maize endosperm) and show reduced levels of cellulose (potato tubers). In sum, our evidence has very much strengthened the concept that SuSy does function in the plasma membrane to channel carbon from sucrose via UDP-glucose to glucan synthase complexes. Soluble SuSy also clearly plays a role in providing carbon for starch synthesis and respiration. Surprisingly, we found that the cotton seed is one unique case where SuSy apparently does not play a role in starch synthesis. Current evidence in sum suggests that no specific SuSy gene encodes the membrane-associated form, although in maize the SS 1 form of SuSy may be most important for cell wall synthesis in the early stages of endosperm development. Work is still in progress to determine what does control membrane localization - and the current evidence we have favors a role for Ca2+, and possibly also protein phosphorylation by differentially regulated protein kinases. Finally, we have discovered for the first time, a major new family of genes that encode the catalytic subunit of the cellulose synthase of plants - a result that has been widely cited and opens many new approaches for the study of this important plant function.
Стилі APA, Harvard, Vancouver, ISO та ін.
5

Berkman, Nancy D., Eva Chang, Julie Seibert, Rania Ali, Deborah Porterfield, Linda Jiang, Roberta Wines, Caroline Rains, and Meera Viswanathan. Management of High-Need, High-Cost Patients: A “Best Fit” Framework Synthesis, Realist Review, and Systematic Review. Agency for Healthcare Research and Quality (AHRQ), October 2021. http://dx.doi.org/10.23970/ahrqepccer246.

Повний текст джерела
Анотація:
Background. In the United States, patients referred to as high-need, high-cost (HNHC) constitute a very small percentage of the patient population but account for a disproportionally high level of healthcare use and cost. Payers, health systems, and providers would like to improve the quality of care and health outcomes for HNHC patients and reduce their costly use of potentially preventable or modifiable healthcare services, including emergency department (ED) and hospital visits. Methods. We assessed evidence of criteria that identify HNHC patients (best fit framework synthesis); developed program theories on the relationship among contexts, mechanisms, and outcomes of interventions intended to change HNHC patient behaviors (realist review); and assessed the effectiveness of interventions (systematic review). We searched databases, gray literature, and other sources for evidence available from January 1, 2000, to March 4, 2021. We included quantitative and qualitative studies of HNHC patients (high healthcare use or cost) age 18 and over who received intervention services in a variety of settings. Results. We included 110 studies (117 articles). Consistent with our best fit framework, characteristics associated with HNHC include patient chronic clinical conditions, behavioral health factors including depression and substance use disorder, and social risk factors including homelessness and poverty. We also identified prior healthcare use and race as important predictors. We found limited evidence of approaches for distinguishing potentially preventable or modifiable high use from all high use. To understand how and why interventions work, we developed three program theories in our realist review that explain (1) targeting HNHC patients, (2) engaging HNHC patients, and (3) engaging care providers in these interventions. Theories identify the need for individualizing and tailoring services for HNHC patients and the importance of building trusting relationships. For our systematic review, we categorized evidence based on primary setting. We found that ED-, primary care–, and home-based care models result in reduced use of healthcare services (moderate to low strength of evidence [SOE]); ED, ambulatory intensive caring unit, and primary care-based models result in reduced costs (low SOE); and system-level transformation and telephonic/mail models do not result in changes in use or costs (low SOE). Conclusions. Patient characteristics can be used to identify patients who are potentially HNHC. Evidence focusing specifically on potentially preventable or modifiable high use was limited. Based on our program theories, we conclude that individualized and tailored patient engagement and resources to support care providers are critical to the success of interventions. Although we found evidence of intervention effectiveness in relation to cost and use, the studies identified in this review reported little information for determining why individual programs work, for whom, and when.
Стилі APA, Harvard, Vancouver, ISO та ін.
6

Grafi, Gideon, and Brian Larkins. Endoreduplication in Maize Endosperm: An Approach for Increasing Crop Productivity. United States Department of Agriculture, September 2000. http://dx.doi.org/10.32747/2000.7575285.bard.

Повний текст джерела
Анотація:
The focus of this research project is to investigate the role of endoreduplication in maize endosperm development and the extent to which this process contributes to high levels of starch and storage protein synthesis. Although endoreduplication has been widely observed in many cells and tissues, especially those with high levels of metabolic activity, the molecular mechanisms through which the cell cycle is altered to produce consecutive cycles of S-phase without an intervening M-phase are unknown. Our previous research has shown that changes in the expression of several cell cycle regulatory genes coincide with the onset of endoreduplication. During this process, there is a sharp reduction in the activity of the mitotic cyclin-dependent kinase (CDK) and activation of the S-phase CDK. It appears the M-phase CDK is stable, but its activity is blocked by a proteinaceous inhibitor. Coincidentally, the S-phase checkpoint protein, retinoblastoma (ZmRb), becomes phosphorylated, presumably releasing an E2F-type transcriptional regulator which promotes the expression of genes responsible for DNA synthesis. To investigate the role of these cell cycle proteins in endoreduplication, we have created transgenic maize plants that express various genes in an endosperm-specific manner using a storage protein (g-zein) promoter. During the first year of the grant, we constructed point mutations of the maize M-phase kinase, p34cdc2. One alteration replaced aspartic acid at position 146 with asparagine (p3630-CdcD146N), while another changed threonine 161 to alanine (p3630-CdcT161A). These mutations abolish the activity of the CDK. We hypothesized that expression of the mutant forms of p34cdc2 in endoreduplicating endosperm, compared to a control p34cdc2, would lead to extra cycles of DNA synthesis. We also fused the gene encoding the regulatory subunit of the M- phase kinase, cyclin B, under the g-zein promoter. Normally, cyclin B is expected to be destroyed prior to the onset of endoreduplication. By producing high levels of this protein in developing endosperm, we hypothesized that the M-phase would be extended, potentially reducing the number of cycles of endoreduplication. Finally, we genetically engineered the wheat dwarf virus RepA protein for endosperm-specific expression. RepA binds to the maize retinoblastoma protein and presumably releases E2F-like transcription factors that activate DNA synthesis. We anticipated that inactivation of ZmRb by RepA would lead to additional cycles of DNA synthesis.
Стилі APA, Harvard, Vancouver, ISO та ін.
7

Rocheford, Torbert, Yaakov Tadmor, Robert Lambert, and Nurit Katzir. Molecular Marker Mapping of Genes Enhancing Tocol and Carotenoid Composition of Maize Grain. United States Department of Agriculture, December 1995. http://dx.doi.org/10.32747/1995.7571352.bard.

Повний текст джерела
Анотація:
The overall objective of this research was to identify chromosomal regions and candidate genes associated with control of concentration and forms of carotenoids (includes pro-Vitamin A) and tocopherols (Vitamin E), which are both antioxidants and are associated with health advantages. Vitamin A and E are included in animal feeding supplements and the eventual goal is to increase levels of these compounds in maize grain so that the cost of these supplements can be reduced or eliminated. Moreover, both compounds are antioxidants that protect unsaturated fatty acids from oxidation and thus maintaining maize oil quality for longer periods. We identified three SSR markers that are associated with 38% of the variation for total carotenoids and three SSR markers associated with 44% of the variation for total tocopherols in the cross W64a x A632. We identified two candidate genes associated with levels of carotenoids: phytoene synthase and zeta carotene desaturase. Evaluation of (Illinois High Oil x B73) B73 BC 1S1 population for tocopherols detected additional chromosomal regions influencing the level of total tocopherols, and detected a common region on chromosome 5 associated with ratio of the more desirable alpha from to the gamma form of tocopherol. The results suggest molecular marker assisted selection for higher levels of these antioxidants in corn grain should be feasible.
Стилі APA, Harvard, Vancouver, ISO та ін.
8

Sisler, Edward C., Raphael Goren, and Akiva Apelbaum. Controlling Ethylene Responses in Horticultural Crops at the Receptor Level. United States Department of Agriculture, October 2001. http://dx.doi.org/10.32747/2001.7580668.bard.

Повний текст джерела
Анотація:
Ethylene is a plant hormone that controls many plant responses, such as growth, senescence, ripening, abscission and seed germination. Recently, 1-methy- cyclopropene (1-MCP), was shown to bind to ethylene receptor for a certain period of time and prevent ethylene action. The objectives of this research were to synthesize analogues of 1-MCP and test their potency to block the ethylene receptor and inhibit ethylene action. During the course of this project, procedures for synthesis and shipment of the cyclopropene compounds were developed as well assay procedures for each compound were worked out. Thirteen new compounds were synthesized. All of them are structural analogues of 1-MCP, with substitution in the 1-position and a side chain containing 2 to 10 carbons. After preliminary studies, nine promising compounds were selected for in-depth study. The potency of the compounds to inhibit ethylene action was tested on a wide scope of systems like: climacteric fruits (banana, avocado and tomato), the triple response (etiolated peas), and leaf abscission (citrus). As the putative inhibitors are suspected to compete for the site of binding and a competitive type of inhibition could be considered, a high concentration of ethylene (300 m1.L-1) was used to induce ripening and other physiological processes. The tests were conducted under extreme conditions which hasten ripening like treatment and storage at 22 to 25oC. There were fluctuations in the responses as related to the concentrations of the inhibitors. Some required much higher concentration to exert the same effect, while some, when applied at the same concentration, blocked the receptor for a longer period of time than the others. Some fruits and other plant organs responded differently to the same inhibitor, indicating differences in characteristics and availability of the ethylene receptors in the various tissues. The potency of the putative inhibitors was found to be greatly affected by their molecular structural and size. In addition, it was found that treatment with the inhibitor should be given before the onset of ethylene action In the case of fruit, treatment should be carried out before the pre-climacteric stage. Simultaneous treatment with ethylene and the inhibitors reduced the inhibitors' effect. The relationship between ethylene and the inhibitors is of a non-competitive nature. All the fruits treated with the putative inhibitors resumed normal ripening after recovery from the inhibition. This fact is of great importance when considering the inhibitors for practical use. The advantage of using inhibitors of ethylene action over inhibitors of ethylene production lies in the ability of the inhibitors of ethylene action to protect the tissue against both endogenous and exogenous ethylene, thus providing better overall protection. Our findings indicate that 1-MCP and its structural analogues are potent inhibitors of ethylene action capable of providing good protection against endogenous and exogenous ethylene. The fact that the compounds are in a gas phase and are non-phytotoxic, odorless and effective at minute concentrations, renders them promising candidates for commercial use. However, the development of water-soluble inhibitors will expand the potential use of the inhibitors in agriculture.
Стилі APA, Harvard, Vancouver, ISO та ін.
9

Varga, Gabriella A., Amichai Arieli, Lawrence D. Muller, Haim Tagari, Israel Bruckental, and Yair Aharoni. Effect of Rumen Available Protein, Amimo Acids and Carbohydrates on Microbial Protein Synthesis, Amino Acid Flow and Performance of High Yielding Cows. United States Department of Agriculture, August 1993. http://dx.doi.org/10.32747/1993.7568103.bard.

Повний текст джерела
Анотація:
The effect of rumen available protein amino acids and carbohydrates on microbial protein synthesis, amino acid flow and performance of high yielding dairy cows was studied. A significant relationship between the effective degradabilities of OM in feedstuffs and the in vivo ruminal OM degradation of diets of dairy cows was found. The in situ method enabled the prediction of ruminal nutrients degradability response to processing of energy and nitragenous supplements. The AA profile of the rumen undegradable protein was modified by the processing method. In a continuous culture study total N and postruminal AA flows, and bacterial efficiency, is maximal at rumen degradable levels of 65% of the CP. Responses to rumen degradable non carbohydrate (NSC) were linear up to at least 27% of DM. Higher CP flow in the abomasum was found for cows fed high ruminally degradable OM and low ruminally degradable CP diet. It appeared that in dairy cows diets, the ratio of rumen degradable OM to rumenally degradable CP should be at least 5:1 in order to maximize postruminal CP flow. The efficiency of microbial CP synthesis was higher for diets supplemented with 33% of rumen undegradable protein, with greater amounts of bacterial AA reaching the abomasum. Increase in ruminal carbohydrate availability by using high moisture corn increased proportions of propionate, postruminal nutrients flow, postruminal starch digestibility, ruminal availability of NSC, uptake of energy substrates by the mammory gland. These modifications resulted with improvement in the utilization of nonessential AA for milk protein synthesis, in higher milk protein yield. Higher postruminal NSC digestibility and higher efficiency of milk protein production were recorded in cows fed extruded corn. Increasing feeding frequency increased flow of N from the rumen to the blood, reduced diurnal variation in ruminal and ammonia, and of plasma urea and improved postruminal NSC and CIP digestibility and total tract digestibilities. Milk and constituent yield increased with more frequent feeding. In a study performed in a commercial dairy herd, changes in energy and nitrogenous substrates level suggested that increasing feeding frequency may improve dietary nitrogen utilization and may shift metabolism toward more glucogenesis. It was concluded that efficiency of milk protein yield in high producing cows might be improved by an optimization of ruminal and post-ruminal supplies of energy and nitrogenous substrates. Such an optimization can be achieved by processing of energy and nitrogenous feedstuffs, and by increasing feeding frequency. In situ data may provide means for elucidation of the optimal processing conditions.
Стилі APA, Harvard, Vancouver, ISO та ін.
10

Ginzberg, Idit, Richard E. Veilleux, and James G. Tokuhisa. Identification and Allelic Variation of Genes Involved in the Potato Glycoalkaloid Biosynthetic Pathway. United States Department of Agriculture, August 2012. http://dx.doi.org/10.32747/2012.7593386.bard.

Повний текст джерела
Анотація:
Steroidal glycoalkaloids (SGAs) are secondary metabolites being part of the plant defense response. The two major SGAs in cultivated potato (Solanum tuberosum) are α-chaconine and α-solanine, which exhibit strong cellular lytic properties and inhibit acetylcholinesterase activity, and are poisonous at high concentrations for humans. As SGAs are not destroyed during cooking and frying commercial cultivars have been bred to contain low levels, and their content in tubers should not exceed 20 mg/100 g fresh weight. However, environmental factors can increase tuber SGA content above the safe level. The focus of the proposed research was to apply genomic approaches to identify candidate genes that control potato SGA content in order to develop tools for potato improvement by marker-assisted selection and/or transgenic approaches. To this end, the objectives of the proposal included identification of genes, metabolic intermediates and allelic variations in the potato SGAbiosynthetic pathway. The SGAs are biosynthesized by the sterol branch of the mevalonic acid/isoprenoid pathway. Transgenic potato plants that overexpress 3-hydroxy-3-methylglutaryl-CoA reductase 1 (HMG1) or squalene synthase 1 (SQS1), key enzymes of the mevalonic acid/isoprenoid pathway, exhibited elevated levels of solanine and chaconine as well as induced expression of genes downstream the pathway. These results suggest of coordinated regulation of isoprenoid (primary) metabolism and SGA secondary metabolism. The transgenic plants were further used to identify new SGA-related candidate genes by cDNA-AFLP approach and a novel glycosyltransferase was isolated. In addition, genes involved in phytosterol biosynthesis may have dual role and synthesize defense-related steroidal metabolites, such as SGAs, via lanosterol pathway. Potato lanosterol synthase sequence (LAS) was isolated and used to prepare transgenic plants with overexpressing and silencing constructs. Plants are currently being analyzed for SGA content. The dynamics of SGA accumulation in the various organs of a potato species with high SGA content gave insights into the general regulation of SGA abundance. Leaf SGA levels in S. chacoense were 10 to 20-fold greater than those of S. tuberosum. The leptines, SGAs with strong antifeedant properties against Colorado potato beetles, were present in all aerial tissues except for early and mid-developmental stages of above ground stolons, and accounted for the high SGA content of S. chacoense. These results indicate the presence of regulatory mechanisms in most tissues except in stolons that limit the levels of α-solanine and α-chaconine and confine leptine accumulation to the aerial tissues. The genomes of cultivated and wild potato contain a 4-member gene family coding for SQS. Three orthologs were cloned as cDNAs from S. chacoense and heterologously expressed in E. coli. Squalene accumulated in all E. coli lines transformed with each of the three gene constructs. Differential transcript abundance in various organs and amino acid sequence differences in the conserved domains of three isoenzymes indicate subfunctionalization of SQS activity and triterpene/sterol metabolism. Because S. chacoense and S. phureja differ so greatly for presence and accumulation of SGAs, we selected four candidate genes from different points along the biosynthetic pathway to determine if chcor phuspecific alleles were associated with SGA expression in a segregating interspecific diploid population. For two of the four genes (HMG2 and SGT2) F2 plants with chcalleles expressed significantly greater total SGAs compared with heterozygotes and those with phualleles. Although there are other determinants of SGA biosynthesis and composition in potato, the ability of allelic states at two genes to affect SGA levels confirms some of the above transgenic work where chcalleles at two other loci altered SGA expression in Desiree. Present results reveal new opportunities to manipulate triterpene/sterol biosynthesis in more targeted ways with the objective of altering SGA content for both human health concerns and natural pesticide content without disrupting the essential metabolism and function of the phytosterol component of the membranes and the growth regulating brassinosteroids.
Стилі APA, Harvard, Vancouver, ISO та ін.
Ми пропонуємо знижки на всі преміум-плани для авторів, чиї праці увійшли до тематичних добірок літератури. Зв'яжіться з нами, щоб отримати унікальний промокод!

До бібліографії