Дисертації з теми "Ultrathin oxides"

Щоб переглянути інші типи публікацій з цієї теми, перейдіть за посиланням: Ultrathin oxides.

Оформте джерело за APA, MLA, Chicago, Harvard та іншими стилями

Оберіть тип джерела:

Ознайомтеся з топ-50 дисертацій для дослідження на тему "Ultrathin oxides".

Біля кожної праці в переліку літератури доступна кнопка «Додати до бібліографії». Скористайтеся нею – і ми автоматично оформимо бібліографічне посилання на обрану працю в потрібному вам стилі цитування: APA, MLA, «Гарвард», «Чикаго», «Ванкувер» тощо.

Також ви можете завантажити повний текст наукової публікації у форматі «.pdf» та прочитати онлайн анотацію до роботи, якщо відповідні параметри наявні в метаданих.

Переглядайте дисертації для різних дисциплін та оформлюйте правильно вашу бібліографію.

1

Tolvaišienė, Sonata. "Transport of charge carriers in ultrathin films of manganese oxides." Doctoral thesis, Lithuanian Academic Libraries Network (LABT), 2009. http://vddb.library.lt/obj/LT-eLABa-0001:E.02~2009~D_20090218_143224-76401.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
The objective of this dissertation is to investigate lanthanum manganites, which exhibit colossal negative magnetoresistance and phase transitions from the paramagnetic state to the ferromagnetic state. The magnetoresistance and its ani-zotriopy of thin epitaxial manganite films at low (up to  0.5 T) magnetic fields, as well as effects induced by strong pulsed magnetic and electric fields were in-vestigated. It was demonstrated how it can be used in the development of magne-tic field sensors, short electric pulse forming devices and amplitude modulators. It was found that in the case of ultra-thin La-Sr-MnO3 films, the sign and value of the magnetoresistance anisotropy at low magnetic fields depends on the thickness of the films. An explanation of these results was proposed using the mean field approach and taking into consideration that the structure of the films changes with its thickness. A reversible thermoelectrical switching effect was discovered and investigated. A new method for nanosecond duration electrical pulses amplitude modulation by an external magnetic field using La0.87Sr0.17MnO3 films was suggested and experimentally verified. This dissertation consists of the abstracts in Lithuanian and English, intro-duction, six chapters, the main results and conclusions and list of literature. The introduction contains topicality and problem, the aim of the work, tasks, scientific novelty, practical value, approval of the results, statement to be de-fended and... [to full text]
Disertacijoje nagrinėjami lantano manganitai, pasižymintys faziniu virsmu iš paramagnetinės į feromagnetinę būseną bei milžiniškos neigiamos magnetovar-žos efektu. Tiriami magnetovaržos ir jos anizotropijos efektai silpnuose (iki  0,5 T) magnetiniuose laukuose bei stiprių impulsinių srovių ir magnetinių laukų sukelti efektai plonuose epitaksiniuose manganitų sluoksniuose. Pateikiami pasiūlymai tyrimo rezultatus panaudoti kuriant magnetinio lauko jutiklius, spar-čiųjų elektrinių impulsų formuotuvus bei amplitudės moduliatorius. Tiriant sil-pnų magnetinių laukų poveikį ultraplonųjų La-Sr-MnO3 sluoksnių elektriniam laidumui, buvo nustatyta, kad magnetovaržos anizotropijos ženklas ir vertė šiuo-se laukuose priklauso nuo sluoksnio storio. Pateiktas modelis, paaiškinantis eks-perimentinius rezultatus, paremtas vidutinio lauko artiniu ir įskaitantis sluoksnio struktūros kitimą kintant jo storiui. Aptiktas ir ištirtas grįžtamasis termoelektrinis nestabilumas, išaiškintos šio reiškinio atsiradimo priežastys. Pasiūlytas ir ekspe-rimentiškai realizuotas naujas ns trukmės elektrinių impulsų amplitudės modulia-vimo išoriniu magnetiniu lauku būdas, naudojant epitaksinius La0,87Sr0,17MnO3 sluoksnius. Disertaciją sudaro reziumė lietuvių ir anglų kalbomis, įvadas, šeši skyriai, pagrindiniai rezultatai ir išvados, literatūros sąrašas, publikacijų disertacijos tema sąrašas. Įvadiniame skyriuje nagrinėjamas problemos aktualumas, formuluojamas darbo tikslas bei uždaviniai, aprašomas mokslinis... [toliau žr. visą tekstą]
2

Dragosavac, Marko. "Electron transport in ultrathin oxide silicon MOSFETs." Thesis, University of Cambridge, 2005. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.614808.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
3

Matharu, J. "Surface science of ultrathin metal oxide films." Thesis, University College London (University of London), 2011. http://discovery.ucl.ac.uk/1335900/.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
The properties of metal oxide surfaces are key to their diverse technological applications. However, the semiconducting nature of metal oxides presents a problem - many surface science techniques are electron based and thus require samples to be conducting. As such, bulk crystal studies of metal oxides by techniques such as photoemission spectroscopy (PES) and scanning tunneling microscopy (STM) are limited to reduced surfaces. Alternatively, thin films of a metal oxide can be synthesised on a suitable conducting substrate that mimic the bulk crystal surface whilst having sufficient conducting character to use these techniques. CeO2 is an important material found in three-way catalysts that remove pollutants from the exhaust gas of modern automobiles. Key to this application is the ability of reduced ceria to store and release oxygen depending on the composition of the exhaust. The addition of noble metals such as Pd to the ceria surface greatly improves the efficiency of pollutant conversion evidenced by X-ray photoelectron spectroscopy (XPS) by reducing the ceria. Resonance photoemission spectroscopy (RESPES) has been used to investigate the eect of Pd on ceria CeO2-x(110) thin films grown on a Pt(111) substrate. RESPES is more surface specific than XPS and thus reveals more information on the surface layers of ceria films. TiO2(110) is the most studied metal oxide surface, and has a multitude of applications. Its chemistry with two of the most abundant chemical species - water (H2O) and oxygen (O2) - is thus very important. H2O has been shown to dissociate on TiO2 surfaces. TiO2 thin films grown on W(100) were used as model system to study the chemistry of the reaction between TiO2 and H2O, and subsequently the reaction of hydrated surfaces with O2 using XPS. STM was used to examine the morphology of TiO2(110) films grown on W(100)-(2 x 1)-O, changes with film thickness and methods of improving surface smoothness. The first detailed STM images showing row structure of TiO2(110) films grown on W are shown.
4

Wlodarczyk, Radoslaw Stanislaw. "Surface structure predictions and development of global exploration tools." Doctoral thesis, Humboldt-Universität zu Berlin, Mathematisch-Naturwissenschaftliche Fakultät, 2015. http://dx.doi.org/10.18452/17207.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Diese Arbeit ist ein Beitrag zur theoretischen Chemie sowie zur Oberflächenchemie. Durch Kombination von computergestützten und experimentellen Untersuchungen wird die atomare Struktur von dünnen SiO2-Filmen auf Ru(0001)-Unterlagen, von eisendotierten SiO2-Filmen auf diesen Unterlagen und von H2O-Filmen auf MgO(001)-Oberflächen bestimmt. Die atomaren Strukturmodelle wurden entweder mit dem neu entworfenen und im Paket DoDo implementierten genetischen Algorithmus oder mittels auf Sachkenntnis gestützter Vermutungen erhalten. Die simulierten Eigenschaften der so erhaltenen Strukturen stimmen sehr gut mit den experimentellen Daten (Raster-Tunnel-Mikroskopie, Infrarot-Spektroskopie) überein. Die erfolgreiche Strukturbestimmung mithilfe des DoDo-Programms zeigt, dass genetische Algorithmen zur systematischen und extensiven Erkundung der Energielandschaften 2D-periodischer Systeme geeignet sind.
This work is a contribution in the field of theoretical chemistry and surface science. The joint computational and experimental studies investigated the atomic structure of ultrathin silica and iron-doped silica films formed on the Ru(0001) surface and water films formed on the MgO(001) surface. The atomic structure models were obtained using either the educated guess approach or the genetic algorithm that was designed and implemented within the DoDo package. The properties simulated for the resulting models are in a very good agreement with the experimental data (scanning tunnelling microscopy, infrared spectroscopy). The successful structure determination using the DoDo program shows that the genetic algorithm technique is capable of systematic and extensive exploration of the energy landscapes for 2D-periodic systems.
5

Grinter, D. C. "Surface studies of metal oxide catalysts and ultrathin films." Thesis, University College London (University of London), 2011. http://discovery.ucl.ac.uk/1334452/.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
This thesis examines two important metal oxide systems: ultrathin CeO2(111) films on Pt(111), and carboxylic acids on TiO2 surfaces, both of which are significant for future energy research. The structure and growth of ultrathin films of CeO2(111) supported on Pt(111) have been studied with Scanning Tunnelling Microscopy (STM), Low Energy Electron Diffraction (LEED) and Auger Electron Spectroscopy (AES). The ultrathin films were grown in a number of ways and their growth mechanism, electronic structure, and domain boundaries were investigated using STM and STS. Atomically resolved STM images (filled and empty states) have been obtained on these ultrathin films permitting the identification of many defect structures. The behaviour of individual gold atoms at room temperature on ultrathin CeO2(111) films on Pt(111) has been investigated with STM. Simultaneous atomically resolved images of a gold adatom and the filled states of the ceria permitted the identification of two adsorption sites: (i) atop an oxygen atom and (ii) in a three-fold hollow site. The adsorption and reactivity of acetic acid on anatase TiO2(101) has also been investigated. It was found that at low coverage, acetic acid is observed to have a characteristic appearance consistent with a dissociative bidentate binding geometry to two neighbouring Ti5c sites. Deposition at elevated temperatures at saturation coverage yielded a (2 x 1) superstructure. The effects of heating, UV exposure, and tip pulsing were also investigated. STM has been used to investigate the adsorption and photo-reactivity of benzoic acid on rutile TiO2(110)(1 x 1) and (1 x 2). Benzoic acid binds to both surfaces dissociatively via a bridging geometry leading to a (2 x 1) overlayer on the (1 x 1) surface at saturation. Benzoate adsorbs between the added-rows of the (1 x 2) reconstruction leading to a (2 x 2) superstructure at higher coverage and demonstrated the important role of intermolecular interactions such as hydrogen bonding.
6

Bayat, Alireza [Verfasser]. "Composition and geometric structure of ultrathin oxide films / Alireza Bayat." Halle, 2018. http://d-nb.info/1175950572/34.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
7

Garza, Michelle. "Reactivity of Oxide Surfaces and Metal-Oxide Interfaces: Effects of Water Vapor Pressure on Ultrathin Aluminum Oxide Films, and Studies of Platinum Growth Modes on Ultrathin Oxide Films and Their Effects on Adhesion." Thesis, University of North Texas, 2004. https://digital.library.unt.edu/ark:/67531/metadc4517/.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
The reactivity of oxide surfaces and metal-oxide interfaces play an important role in many technological applications such as corrosion, heterogeneous catalysis, and microelectronics. The focus of this research was (1) understanding the effects of water vapor exposure of ultrathin aluminum oxide films under non-ultrahigh vacuum conditions (>10-9 Torr) and (2) characterization of Pt growth modes on ultrathin Ta silicate and silicon dioxide films and the effects of growth modes on adhesion of a Cu overlayer. These studies were conducted with X-ray photoelectron spectroscopy (XPS). Ni3Al(110) was oxidized (10-6 Torr O2, 800K) followed by annealing (1100K). The data indicate that the annealed oxide film is composed of NiO, Al2O3 and an intermediate phase denoted here as "AlOx". Upon exposure of the oxide film at ambient temperature to increasing water vapor pressure (10-6 - 5 Torr), a shift in both the O(1s) and Al(2p)oxide peak maxima to lower binding energies is observed. In contrast, exposure of Al2O3/Al(polycrystalline) to water vapor under the same conditions results in a high binding energy shoulder in the O(1s) spectra which indicates hydroxylation. Spectral decomposition provides further insight into the difference in reactivity between the two oxide films. The corresponding trends of the O(1s)/Ni0(2p3/2) and Al(2p)/Ni0(2p3/2) spectral intensity ratios suggest conformal changes of the oxide film on Ni3Al(110). The growth behavior of sputter deposited Pt at ~300K on Ta silicate and SiO2 ultrathin films formed on Si(100) was investigated. The XPS data show that Pt deposition results in uniform growth or "wetting" on Ta silicate and 2-D cluster growth on SiO2. Electroless Cu deposition on ~11 monolayers (ML) Pt/Ta silicate film results in an adherent Cu film which passed the Scotch tape test. In contrast, electroless Cu deposition on ~11ML Pt/SiO2 results in a non-adherent Cu film due to weak Pt/SiO2 interaction.
8

Varga, P., M. Schmid, S. Muto, K. Tatsumi, T. Matsui, D. Tajima, and J. Yuhara. "Growth and structure of an ultrathin tin oxide film on Rh (111)." AIP Publishing, 2011. http://hdl.handle.net/2237/20826.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
9

Mihaychuk, James Gordon. "Nonlinear optical studies of multiphoton photoemission in silicon covered by ultrathin oxide films." Thesis, National Library of Canada = Bibliothèque nationale du Canada, 1998. http://www.collectionscanada.ca/obj/s4/f2/dsk2/tape15/PQDD_0013/NQ35250.pdf.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
10

Martynova, Yulia [Verfasser], and Reinhard [Akademischer Betreuer] Schomäcker. "CO oxidation on metal supported ultrathin oxide films / Yulia Martynova. Betreuer: Reinhard Schomäcker." Berlin : Universitätsbibliothek der Technischen Universität Berlin, 2013. http://d-nb.info/1035767384/34.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
11

Peschel, Gina [Verfasser]. "Growth and structure of ultrathin silicates and germanates containing iron oxide / Gina Peschel." Berlin : Freie Universität Berlin, 2018. http://d-nb.info/1170782140/34.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
12

Dhakal, Dileep. "Growth Monitoring of Ultrathin Copper and Copper Oxide Films Deposited by Atomic Layer Deposition." Doctoral thesis, Universitätsbibliothek Chemnitz, 2017. http://nbn-resolving.de/urn:nbn:de:bsz:ch1-qucosa-229808.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Atomic layer deposition (ALD) of copper films is getting enormous interest. Ultrathin Cu films are applied as the seed layer for electrochemical deposition (ECD) of copper in interconnect circuits and as the non-magnetic material for the realization of giant magnetoresistance (GMR) sensors. Particularly, Co/Cu multi-layered structures require sub 4.0 nm copper film thickness for obtaining strong GMR effects. The physical vapor deposition process for the deposition of the copper seed layers are prone to non-conformal coating and poor step coverage on side-walls and bottoms of trenches and vias, and presence of overhanging structures. This may cause failure of interconnections due to formation of voids after copper ECD. ALD is the most suitable technology for the deposition of conformal seed layers for the subsequent ECD in very high aspect ratio structures, also for the technology nodes below 20 nm. Surface chemistry during the ALD of oxides is quite well studied. However, surface chemistry during the ALD of pure metal is rather immature. This knowledge is necessary to optimize the process parameters, synthesize better precursors systems, and enhance the knowledge of existing metal ALD processes. The major goal of this work is to understand the surface chemistry of the used precursor and study the growth of ultrathin copper films using in-situ X-ray photoelectron spectroscopy (XPS). Copper films are deposited by ALD using the precursor mixture consisting of 99 mol% [(nBu3P)2Cu(acac)], as copper precursor and 1 mol% of Ru(η5 C7H11)(η5 C5H4SiMe3), as ruthenium precursor. The purpose in having catalytic amount of ruthenium precursor is to obtain the Ru doped Cu2O layers for subsequent reduction with formic acid at temperatures below 150 °C on arbitrary substrates. Two different approaches for the growth of ultrathin copper films have been studied in this dissertation. In the first approach, direct thermal ALD of copper has been studied by using H2 as co-reactant on Co as catalytic substrate. In the second approach, Ru-doped Cu2O is deposited by ALD using wet-O2 as co-reactant on SiO2 as non-catalytic substrate. The Ru-doped Cu2O is successfully reduced by using either formic acid or carbon-monoxide on SiO2
Atomlagenabscheidung (ALD) von Kupfer steht im Fokus der ALD Gemeinschaft. Ultradünne Kupferschichten können als Keimschicht für die elektrochemische Abscheidung (ECD) von Kupfer in der Verbindungstechnologie eingesetzt werden. Sie können ebenfalls für Sensoren, welche auf den Effekt des Riesenmagnetowiderstandes (GMR) basieren, als nicht-ferromagnetische Zwischenschicht verwendet werden. Insbesondere Multischichtstrukturen aus ferromagnetische Kobalt und Kupfer erfordern Schichtdicken von weniger als 4,0 nm, um einen starken GMR-Effekt zu gewährleisten. Das derzeit verwendete physikalische Dampfabscheidungsverfahren für ultradünne Kupferschichten, ist besonders anfällig für eine nicht-konforme Abscheidung an den Seitenwänden und Böden von Strukturen mit hohem Aspektverhältnis. Des Weiteren kann es zur Bildung von Löchern und überhängenden Strukturen kommen, welche bei der anschließenden Kupfer ECD zu Kontaktlücken (Voids) führen können. Für die Abscheidung einer Kupfer-Keimschicht ist die ALD besonders gut geeignet, da sie es ermöglicht, ultradünne konforme Schichten auf strukturierten Oberflächen mit hohem Aspektverhältnis abzuscheiden. Dies macht sie zu einer der Schlüsseltechnologien für Struckturgrößen unter 20 nm. Im Gegensatz zur Oberflächenchemie rein metallischer ALD sind die Oberflächenreaktionen für oxidische ALD Schichten sehr gut untersucht. Die Kenntnis der Oberflächenchemie während eines ALD Prozesses ist essenziel für die Bestimmung von wichtigen Prozessparametern als auch für die Verbesserung der Präkursorsynthese ansich. Diese Arbeit beschäftigt sich mit der Untersuchung der Oberflächenchemie und Charakterisierung des Wachstums von ultradünnen Metall-Cu-Schichten mittels In-situ XPS, welche eines indirekten (Oxid) bzw. direkten Metall-ALD Prozesses abgeschieden werden, wobei die Kupfer-Oxidschichten im Anschluss einem Reduktionsprozess unterworfen werden. Hierfür wird eine Präkursormischung bestehend aus 99 mol% [(nBu3P)2Cu(acac)] und 1 mol% [Ru(η5 C7H11)(η5-C5H4SiMe3)] verwendet. Die katalytische Menge an Ru, welche in der entstehenden Cu2O Schicht verbleibt, erhöht den Effekt der Reduktion der Cu2O Schicht auf beliebigen Substraten mit Ameinsäure bei Wafertemperaturen unter 150 °C. In einem ersten Schritt wird ein direkter thermisches Kupfer ALD-Prozess, unter Verwendung von molekularem Wasserstoff als Coreaktant, auf einem Kobalt-Substrat untersucht. In einem zweiten Schritt wird ein indirekter thermischer Cu2O-ALD-Prozess, unter gleichzeitiger Verwendung von Sauerstoff und Wasserdampf als Coreaktant, mit anschließender Reduktion durch Ameinsäure oder Kohlenstoffmonoxid zu Kupfer auf den gleichen Substraten betrachtet. Die vorliegende Arbeit beschreibt das Wachstum von ultradünnen und kontinuierlichen Kupfer-Schichten mittels thermischer ALD auf inerten- SiO2 und reaktiven Kobalt-Substraten
13

Reikowski, Finn [Verfasser]. "In Situ X-ray Scattering Studies of Ultrathin Epitaxial Metal Oxide Films / Finn Reikowski." Kiel : Universitätsbibliothek Kiel, 2019. http://d-nb.info/1189658763/34.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
14

Melzer, Marcel. "Atomic Layer Deposition and Microanalysis of Ultrathin Layers." Bachelor's thesis, Universitätsbibliothek Chemnitz, 2012. http://nbn-resolving.de/urn:nbn:de:bsz:ch1-qucosa-97235.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Carbon nanotubes (CNTs) are a highly promising material for future interconnects. It is expected that the decoration of CNTs with Cu particles or also the filling of the interspaces between the CNTs with Cu instead of the currently used SiO2 can enhance the performance of CNT-based interconnects. Due to the high aspect ratio of CNTs an appropriate deposition technique has to be applied which is able to coat such structures uniformly. The current work is therefore considered with thermal atomic layer deposition (ALD) of CuxO from the liquid Cu (I) β-diketonate precursor [(nBu3P)2Cu(acac)] and wet oxygen at 135°C on variously pretreated multi-walled CNTs. The different in-situ pre-treatments of the CNTs with oxygen, water vapor and wet oxygen in a temperature range from 100 to 300°C at a pressure of 1.33 mbar have been carried out prior to the ALD to enable uniform nucleation on the otherwise chemical inert CNT surface. The reduction of the CuxO as well as the filling of the space between the CNTs is not part of this work. Variations of the oxidation temperature as well as the oxidation agents resulted in different growth modes of the CuxO. An oxidation with wet oxygen at 300°C yielded in a partially layer like growth of the CuxO. It is expected that this growth mode is connected to a partial destruction of the outer CNT shell due to the oxidation. However, the damage introduced to the CNTs was not high enough to be detected by Raman spectroscopy. For all other investigated pretreatments, the formation of nanoparticles (NPs) was observed by electron microscopy. This formation of CuxO NPs can be explained by the metal-tube-interaction. Furthermore, the NPs probably decorate defect sites of the CNTs due to their higher reactivity. Additionally, analysis of energy-dispersive X-ray spectroscopy and spectroscopic ellipsometry measurements suggests that the used precursor [(nBu3P)2Cu(acac)] requires reactive oxygen surface groups for initiating the ALD growth. The observation of layer-like growth of CuxO on CNTs pretreated with wet oxygen at 300°C appears promising for deposition processes of Cu seed layers on CNTs. However, more aggressive pretreatments at higher temperatures or with more aggressive oxidation agents could be required to enable layer like growth on the entire CNTs.
15

Johnson, Brian Ivins. "Preparation and Detailed X-Ray Photoelectron Spectroscopy and Spectroscopic EllipsometryAnalysis of Ultrathin Protective Coatings." BYU ScholarsArchive, 2019. https://scholarsarchive.byu.edu/etd/8119.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Ultra-thin films (UTFs) are important in many applications, seen in the semiconductor industry, in chromatography, in sensing, in microfluidics, in aerospace, and in robotics. They also protect materials from corrosion, change surface energies, limit water intrusion into materials, allow material self-cleaning and self-healing, provide scratch resistance, and impart other specific chemical properties. In many cases, UTFs drastically alter surface properties and therefore their applications. It is imperative that proper and consistent characterization be performed on coatings to confirm and understand their desired properties. In Chapter two, Al oxidation under MgF2 protective layers is studied using real time X-ray photoelectron spectroscopy (XPS), and spectroscopic ellipsometry (SE). These tools allowed me to monitor Al oxidation for both short (hours) and long (months) periods of time. XPS revealed the chemical changes that took place in these materials as a function of time, and these changes were verified with SE. These studies help increase an understanding of aluminum changes under MgF2 protective layers. The third chapter demonstrates ab initio calculations guided X-ray photoelectron spectroscopy (XPS) analysis of surfaces functionalized with fluorinated silanes. This study addresses deficiencies in the literature where CF2:CF3 ratios from experimental XPS data do not match theoretical CF2:CF3 ratios. In a systematic approach, I developed semi-empirical models directed both by ab initio calculations and adjustable, empirical parameters. These models were effective in describing the raw data and exceeded fitting methods used in literature. In Chapter four, SiO2 UTFs with variable thicknesses deposited on Eagle XG® glass substrates are characterized. Challenges associated with this work consisted of similar optical functions of the film and substrate as well as backside reflections from the substrate. These obstacles were met using a multi-sample analysis (MSA), a variable angle spectroscopic ellipsometric approach, and mechanical abrasion/roughening of the substrate backside. With these approaches, I developed a model that precisely fit the data collected from all the samples and gave the correct optical function of the material along with thickness values for each film. Surface characterization represents a commitment of resources. It takes time to make measurements, and it takes time to analyze and understand the results. As presented in this work, I increase understanding of ultra-thin films at interfaces using both a multi-tool approach as well as using multiple analytical methods on data collected from each tool.
16

Deloge, Matthieu. "Analysis of ultrathin gate-oxide breakdown mechanisms and applications to antifuse memories fabricated in advanced CMOS processes." Thesis, Lyon, INSA, 2011. http://www.theses.fr/2011ISAL0097/document.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Les mémoires non-volatiles programmables une fois sont en plein essor dans le monde de l’électronique embarquée. La traçabilité, la configuration ou encore la réparation de systèmes sur puce avancés font partis des applications adressées par ce type de mémoire. Plus particulièrement, la technologie antifusible présente des propriétés de sécurité autorisant le stockage d’information sensible.Ce travail de thèse est orienté vers la compréhension des mécanismes de claquage d’oxydes minces sollicités pour la programmation des cellules antifusibles ainsi que l’intégration au niveau système de moyens de détections. Une première étape fut d’étudier les phénomènes de claquage de diélectrique type SiO2 et à haute permittivité sous l’application d’un fort champ ́électrique. Des techniques de mesures dédiées ont été développées afin de réaliser des caractérisations dans les conditions de programmation des mémoires antifusible sollicitant des temps au claquage inférieurs à la micro-seconde. Ces mesures ont ensuite permis l’étude statistique du claquage des diélectriques ainsi que la modélisation sous de hautes tensions ; hors des gammes étudiées traditionnellement dans le domaine de la fiabilité. Le modèle proposé permet l’optimisation des dimensions d’une cellule élémentaire en fonction d’un temps au claquage défini au préalable. Un mécanisme inattendu occasionnant un sur courant substrat a également été mis en évidence pendant la phase de programmation. L’étude de ce phénomène a été réalisée par des caractérisations électriques et des simulations afin de conclure sur l’hypothèse d’un déclenchement d’un transistor bipolaire parasite de type PNP dans la cellule antifusible. L’impact des conditions de programmation sur le courant de lecture mesuré sous une basse tension a également été analysé. Des structures de tests analogiques dédiés ont été conçues afin de contrôler l’amplitude du courant de programmation. Le contrôle du temps de programmation est quant à lui accompli par un système de détection de courant et de temporisation. Finalement, ces solutions sont validées par un démonstrateur d’une capacité de 1-kb conçu et fabriqué sur une technologie CMOS standard avancée 32nm
Non-volatile one-time programmable memories are gaining an ever growing interest in embedded electronics. Chip ID, chip configuration or system repairing are among the numerous applications addressed by this type of semiconductor memories. In addition, the antifuse technology enables the storage of secured information with respect to cryptography or else. The thesis focuses on the understanding of ultrathin gate-oxide breakdown physics that is involved in the programming of antifuse bitcells. The integration of advanced programming and detection schemes is also tackled in this thesis. The breakdown mechanisms in the dielectric material SiO2 and high-K under a high electric field were studied. Dedicated experimental setups were needed in order to perform the characterization of antifuse bitcells under the conditions define in memory product. Typical time-to-breakdown values shorter than a micro second were identified. The latter measurements allowed the statistical study of dielectric breakdown and the modeling in a high voltage range, i.e. beyond the conventional range studied in reliability. The model presented in this PhD thesis enables the optimization of the antifuse bitcell sizes according to a targeted mean time-to- breakdown value. A particular mechanism leading to a high bulk current overshoot occuring during the programming operation was highlighted. The study of this phenomenon was achieved using electrical characterizations and simulations. The triggering of a parasitic P-N-P bipolar transistor localized in the antifuse bitcell appeared as a relevant hypothesis. The analysis of the impact of the programming conditions on the resulting read current measured under a low voltage was performed using analog test structures. The amplitude of the programming current was controlled in an augmented antifuse bitcell. The programming time is controlled by a programming detection system and a delay. Finally, these solutions are to be validated using a 1-kb demonstrator yet designed and fabricated in a logic 32-nm CMOS process
17

Islam, Shariful [Verfasser]. "Growth, structuring and interface manipulation of ultrathin oxide and silicate films on silicon single crystal surfaces / Shariful Islam." Hannover : Technische Informationsbibliothek und Universitätsbibliothek Hannover (TIB), 2015. http://d-nb.info/1077019300/34.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
18

Piloto, Carlo. "Carbon nanomaterials for room temperature gas sensing." Thesis, Queensland University of Technology, 2016. https://eprints.qut.edu.au/97743/1/Carlo_Piloto_Thesis_Redacted.pdf.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
The aim of this research is to develop high performance gas sensors with low power consumption and high portability. This was achieved by synthesizing carbon nanomaterials decorated with alkali-metal dopants and metal oxides, and by optimizing ultrathin layer of carbon nanobutes coupled to a new deposition technique. These materials demonstrated excellent sensitivity at room temperature to both nitrogen dioxide and ammonia, down to ppm level, providing a new pathway to realise room temperature gas sensors. Our fabrication methods are highly scalable and do not involve the use of expensive equipment which makes them excellent candidates for mass production.
19

Nadimi, Ebrahim. "Quantum Mechanical and Atomic Level ab initio Calculation of Electron Transport through Ultrathin Gate Dielectrics of Metal-Oxide-Semiconductor Field Effect Transistors." Doctoral thesis, Universitätsbibliothek Chemnitz, 2008. http://nbn-resolving.de/urn:nbn:de:bsz:ch1-200800477.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
The low dimensions of the state-of-the-art nanoscale transistors exhibit increasing quantum mechanical effects, which are no longer negligible. Gate tunneling current is one of such effects, that is responsible for high power consumption and high working temperature in microprocessors. This in turn put limits on further down scaling of devices. Therefore modeling and calculation of tunneling current is of a great interest. This work provides a review of existing models for the calculation of the gate tunneling current in MOSFETs. The quantum mechanical effects are studied with a model, based on a self-consistent solution of the Schrödinger and Poisson equations within the effective mass approximation. The calculation of the tunneling current is focused on models based on the calculation of carrier’s lifetime on quasi-bound states (QBSs). A new method for the determination of carrier’s lifetime is suggested and then the tunneling current is calculated for different samples and compared to measurements. The model is also applied to the extraction of the “tunneling effective mass” of electrons in ultrathin oxynitride gate dielectrics. Ultrathin gate dielectrics (tox<2 nm) consist of only few atomic layers. Therefore, atomic scale deformations at interfaces and within the dielectric could have great influences on the performance of the dielectric layer and consequently on the tunneling current. On the other hand the specific material parameters would be changed due to atomic level deformations at interfaces. A combination of DFT and NEGF formalisms has been applied to the tunneling problem in the second part of this work. Such atomic level ab initio models take atomic level distortions automatically into account. An atomic scale model interface for the Si/SiO2 interface has been constructed and the tunneling currents through Si/SiO2/Si stack structures are calculated. The influence of single and double oxygen vacancies on the tunneling current is investigated. Atomic level distortions caused by a tensile or compression strains on SiO2 layer as well as their influence on the tunneling current are also investigated
Die vorliegende Arbeit beschäftigt sich mit der Berechnung von Tunnelströmen in MOSFETs (Metal-Oxide-Semiconductor Field Effect Transistors). Zu diesem Zweck wurde ein quantenmechanisches Modell, das auf der selbstkonsistenten Lösung der Schrödinger- und Poisson-Gleichungen basiert, entwickelt. Die Gleichungen sind im Rahmen der EMA gelöst worden. Die Lösung der Schrödinger-Gleichung unter offenen Randbedingungen führt zur Berechnung von Ladungsverteilung und Lebensdauer der Ladungsträger in den QBSs. Der Tunnelstrom wurde dann aus diesen Informationen ermittelt. Der Tunnelstrom wurde in verschiedenen Proben mit unterschiedlichen Oxynitrid Gatedielektrika berechnet und mit gemessenen Daten verglichen. Der Vergleich zeigte, dass die effektive Masse sich sowohl mit der Schichtdicke als auch mit dem Stickstoffgehalt ändert. Im zweiten Teil der vorliegenden Arbeit wurde ein atomistisches Modell zur Berechnung des Tunnelstroms verwendet, welche auf der DFT und NEGF basiert. Zuerst wurde ein atomistisches Modell für ein Si/SiO2-Schichtsystem konstruiert. Dann wurde der Tunnelstrom für verschiedene Si/SiO2/Si-Schichtsysteme berechnet. Das Modell ermöglicht die Untersuchung atom-skaliger Verzerrungen und ihren Einfluss auf den Tunnelstrom. Außerdem wurde der Einfluss einer einzelnen und zwei unterschiedlich positionierter neutraler Sauerstoffleerstellen auf den Tunnelstrom berechnet. Zug- und Druckspannungen auf SiO2 führen zur Deformationen in den chemischen Bindungen und ändern den Tunnelstrom. Auch solche Einflüsse sind anhand des atomistischen Modells berechnet worden
20

Klimenta, Florian [Verfasser], H. L. [Akademischer Betreuer] Meyerheim, W. [Akademischer Betreuer] Hergert, and N. [Akademischer Betreuer] Jedrecy. "Preparation, geometric structure and magnetism of ultrathin oxide films on metal surfaces / Florian Klimenta. Betreuer: H. L. Meyerheim ; W. Hergert ; N. Jedrecy." Halle, Saale : Universitäts- und Landesbibliothek Sachsen-Anhalt, 2013. http://d-nb.info/1036872424/34.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
21

Pierron, Thomas. "Contribution à l’étude des propriétés de l’interface métal oxyde GeO/Ru(0001) par STM, XPS/ARPES et SXRD." Electronic Thesis or Diss., Université de Lorraine, 2021. http://www.theses.fr/2021LORR0160.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Ce manuscrit de thèse est dédié à l’étude des oxydes de silicium (SiO) et de germanium (GeO) sous forme ultramince. Elaborés par épitaxie par jet moléculaire à la surface d’un cristal de ruthénium (0001), ces systèmes peuvent exister sous deux phases stables. La première est constituée d'une monocouche connectée au substrat par des liaisons covalentes formant une interface métal oxyde. La seconde est quant à elle constituée d’une bicouche en interaction faible déconnectée du substrat. La faiblesse des interactions de Van der Waals permet son exfoliation pour l’intégrer dans des hétérostructures fonctionnelles. Dans cette thèse nous étudions la relation entre structure et propriétés électroniques de ces matériaux bidimensionnels (2D) en combinant la microscopie champ proche (STM), la photoémission X et UV résolue en angle (XPS, ARPES), la diffraction X de surface (SXRD) et la modélisation par des méthodes DFT. Une partie de nos mesures (XPS, ARPES et SXRD) ont été obtenues à l'aide du rayonnement synchrotron. Si les propriétés de la bicouche d’oxyde de silicium (SiO) sont bien comprises, la description des propriétés électroniques de l’interface métal-oxyde s'avère plus complexe avec l’impossibilité de réconcilier les calculs emph{ab initio} avec nos mesures ARPES. Pour comprendre l’origine de ce désaccord, nous avons étudié l'interface GeO/Ru(0001) dans le régime de la monocouche. Nos études STM et XPS ont permis de valider le modèle atomique proposé par la DFT, incluant la rotation des liaisons Ge-O-Ge et la présence d'un oxygène interstitiel. Des études structurales complémentaires par SXRD ont permis de valider la relation d'épitaxie proposée par le calcul. Enfin, la structure de bande mesurée se rapproche des prédictions DFT contrairement au SiO même s'il persiste un faible désaccord. Celui-ci peut s'interpréter comme une surestimation de la force de la liaison métal/oxyde par le calcul introduisant une bande interdite au point Gamma et au point K non visibles expérimentalement en ARPES dans le cas de SiO. Des mesures SXRD complémentaires à venir sur SiO permettront d'étayer cette hypothèse
This thesis manuscript is dedicated to the study of silicon (SiO) and germanium (GeO) oxides in their ultra-thin forms. Developed by molecular beam epitaxy on the surface of a ruthenium (0001) crystal, these systems can exist in two stable phases. The first one is a monolayer connected to the substrate by covalent bonds forming a metal-oxide interface. The second one is a weakly interacting bilayer disconnected from the substrate. The weakness of the Van der Waals interactions allows its exfoliation to integrate it into functional heterostructures. In this thesis we study the relationship between structural and electronic properties of these two-dimensional (2D) materials by combining scanning tunneling microscopy (STM), angle-resolved photoemission (XPS, ARPES), surface X-ray diffraction (SXRD) and modelling by DFT methods. Some of our measurements (XPS, ARPES and SXRD) were obtained using synchrotron radiation. If the properties of the silicon oxide (SiO) bilayer are well understood, the description of the electronic properties of the metal-oxide interface proves to be more complex with the impossibility of reconciling the calculations with our ARPES measurements. To understand the origin of this disagreement, we studied the GeO/Ru(0001) interface in the monolayer regime. Our STM and XPS studies validated the atomic model proposed by DFT, including the rotation of Ge-O-Ge bonds and the presence of an interstitial oxygen. Complementary structural studies by SXRD validated the epitaxial relationship proposed by the calculation. Finally, the measured band structure is close to the DFT predictions, contrary to SiO, even if a small disagreement remains. This can be interpreted as an overestimation of the metal oxide bond strength by the calculation introducing a band gap at the Gamma point and at the K point not experimentally visible in ARPES in the case of SiO. Further SXRD measurements on SiO will support this hypothesis
22

Boehn, Bernhard von [Verfasser], and Ronald [Akademischer Betreuer] Imbihl. "Redistribution dynamics of ultrathin vanadium oxide layers under catalytic conditions and activation of diffusion by surface acoustic waves / Bernhard von Boehn ; Betreuer: Ronald Imbihl." Hannover : Gottfried Wilhelm Leibniz Universität, 2020. http://d-nb.info/1210158892/34.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
23

Dhakal, Dileep [Verfasser], Stefan E. [Akademischer Betreuer] Schulz, Stefan E. [Gutachter] Schulz, and Heinrich [Gutachter] Lang. "Growth Monitoring of Ultrathin Copper and Copper Oxide Films Deposited by Atomic Layer Deposition / Dileep Dhakal ; Gutachter: Stefan E. Schulz, Heinrich Lang ; Betreuer: Stefan E. Schulz." Chemnitz : Universitätsbibliothek Chemnitz, 2017. http://d-nb.info/1214244610/34.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
24

Gockert, Radek. "Příprava tenkostěnných dutých keramických vláken metodou povlakování namáčením." Master's thesis, Vysoké učení technické v Brně. Fakulta strojního inženýrství, 2017. http://www.nusl.cz/ntk/nusl-318143.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Tato diplomová práce se zabývá výrobou ultratenkých keramických dutých vláken pomocí metody povlakování namáčením. Příprava keramických dutých vláken je v současnosti limitována rozměrem vnějšího a vnitřního průměru. Aplikace metody povlakování namáčením pro přípravu ultratenkých dutých je nový a technologicky náročný proces vyžadující volbu vhodné šablony a zároveň zvládnutí kontroly parametrů povlakování. Základními zvolenými materiály s vysokým aplikačním potenciálem jsou hydroxyapatit a oxid titaničitý. Samonosná dutá vlákna s tloušťkou stěny pod 1 m byla úspěšně připravena z obou materiálů. Dále byl také popsán proces povlakování namáčením obětovaných šablon. Tato metoda je unikátní, protože umožňuje produkci ultratenkých keramických dutých vláken s vnitřním průměrem pod 100 m a tloušťkou stěny pod 1 m.
25

Souza, Ricardo de. "Fabricação e caracterização de óxidos de porta MOS ultrafinos crescidos sobre superfícies planas e com degraus empregando processos convencional e pirogênico." Universidade de São Paulo, 2006. http://www.teses.usp.br/teses/disponiveis/3/3140/tde-23042007-141950/.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Neste trabalho, investigou-se capacitores MOS fabricados sobre superfícies irregulares contendo formas retangulares periódicas com 100 nm de altura, obtidas a partir de corrosão por plasma localizadas. Os óxidos de porta com 4,5 nm de espessura foram crescidos em ambientes ultrapuros de O2 ou pirogênico a fim de comparar a uniformidade de cobertura sobre os degraus verticais dos perfis retangulares. Foi mostrado que a oxidação pirogênica ou convencional na temperatura de 850 ºC permite obter óxidos de porta sobre degraus com altura de 100nm com baixa corrente de fuga e alto campo de ruptura. Esse comportamento pode ser interpretado como óxidos de porta perfeitamente amoldados sobre os degraus de 100nm de altura. O impacto deste resultado é agora a possibilidade de implementar óxidos de porta para transistores de porta envolvente e FinFETs.
In this work, it was investigated MOS capacitors fabricated onto periodic rectangular shapes, 100 nm in height, obtained by localized plasma etching onto silicon wafer surfaces. 4.5-nm gate oxide growth was performed in ultrapure dry O2 or pyrogenic environments in order to compare the coverage uniformity at the step edges of rectangular shapes defined onto the silicon surfaces. It was shown that pyrogenic and conventional oxidation at 850 ºC allows one to obtain gate oxides on 100nm-stepped silicon surfaces with low leakage current and high dielectric breakdown field. This behavior can be understood as highly conformal gate oxides over silicon steps with height of 100 nm. The impact of this result is now the feasibility of implementing gate oxides for surrounding gate transistors (SGT\'s) and FinFETs.
26

Govind, Remya Kunjuveettil [Verfasser], Karl-Michael [Akademischer Betreuer] Schindler, Kathrin [Akademischer Betreuer] Dörr, and Clemens [Akademischer Betreuer] Laubschat. "Growth, magnetic properties and interface effects of Fe and Fe-oxide ultrathin films on BaTiO3(001) substrates / Remya Kunjuveettil Govind. Betreuer: Karl-Michael Schindler ; Kathrin Dörr ; Clemens Laubschat." Halle, Saale : Universitäts- und Landesbibliothek Sachsen-Anhalt, 2013. http://d-nb.info/1045604151/34.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
27

Seifert, Jan. "Bestimmung der atomaren Struktur ultradünner Schichten auf Festkörperoberflächen mittels streifender Atomstreuung." Doctoral thesis, Humboldt-Universität zu Berlin, Mathematisch-Naturwissenschaftliche Fakultät I, 2012. http://dx.doi.org/10.18452/16580.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
In dieser Dissertation wird die Struktur von ultradünnen Schichten auf atomar ebenen Festkörperoberflächen durch die streifende Streuung von Atomen und Molekülen untersucht. Dabei werden Atome mit kinetischen Energien im keV-Bereich unter flachem Einfallswinkel von etwa 1° an der Oberfläche gestreut und mit einem ortsauflösenden Detektor nachgewiesen. Bei hinreichend kleinen Projektilenergien werden Beugungserscheinungen beobachtet, die durch Interferenz von Materiewellen erklärt werden können. Die Auswertung der Intensität der Beugungsreflexe ermöglicht die Bestimmung von Atompositionen. Wird die Probe azimutal verdreht, ändern sich die seitliche Ablenkung der Projektile und die Zahl der während des Streuprozesses an der Oberfläche emittierten Elektronen. Dies wird zur Identifikation von Richtungen mit dichtgepackten Atomketten genutzt und der Vergleich mit Trajektoriensimulationen gestattet Rückschlüsse auf die Atompositionen der obersten Lage. Beim System einer Atomlage SiO2/Mo(112) kann durch mehrere Messmethoden eindeutig zwischen zwei konkurrierenden Strukturmodellen unterschieden und die Atompositionen eines Modells mit hoher Genauigkeit bestätigt werden. Die Adsorption von Sauerstoff auf einer Mo(112)-Oberfläche wird detailliert studiert und für mehrere Überstrukturphasen werden Modelle aufgestellt. Für V2O3/Au(111) kann durch Triangulationsmessungen eine geringfügige Modifikation eines existierenden Strukturmodells abgeleitet werden. Auf einer Cu(001)-Oberfläche werden dünne, kristalline FeO und Fe3O4-Schichten präpariert und untersucht. Die Inkommensurabilität der quadratischen Substrat- und der hexagonalen Adsorbateinheitszelle führt zu komplexen LEED-Mustern, die durch Mehrfachstreuung erklärt werden können. Dies ist auch der Schlüssel zur Erklärung der Beugungsbilder bei Adsorbatstrukturen der chiralen Aminosäure Alanin auf Cu(110) und damit die Grundlage für die Aufstellung eines Strukturmodells für dieses System.
In this thesis the structure of ultrathin films on atomically flat crystal surfaces is investigated by means of grazing scattering of atoms and molecules. Atoms with kinetic energies in the keV regime are scattered from the surface under small angles of incidence of approximately 1° and are detected by means of a position-sensitive detector. For sufficiently small projectile energies diffraction phenomena are observed which can be explained by interference of matter waves. The analysis of the intensities of diffraction spots makes it possible to determine atomic positions. When the sample is rotated azimuthally the deflection of projectiles and the number of emitted electrons during the scattering process at the surface varies. This is used to identify directions with close-packed strings of atoms and comparison with trajectory simulations gives information on atomic positions of the topmost layer. For the system of one atomic layer of SiO2/Mo(112) it can be unambiguously distinguished between two competing structural models. The positions of atoms of one model are confirmed with high accuracy by the use of several methods. The adsorption of oxygen on a Mo(112) surface is studied in detail and for several superstructure phases models are proposed. For the surface of a V2O3 layer on a Au(111) substrate a slight modification of an existing structural model is derived by means of triangulation measurements. On a Cu(001) surface thin crystalline FeO and Fe3O4 films are grown and studied. The incommensurability of the quadratic substrate with the hexagonal adsorbate surface unit cell gives rise to complex pattern for low energy electron diffraction, which can be explained by multiple scattering. This is also the key to the explanation of diffraction images for adsorbate structures of the chiral amino acid alanine on Cu(110) and the basis for developing a structural model for this system.
28

Chang, Yutzu, and 張祐慈. "Sphere Model and Breakdown Physics in Ultrathin Gate Oxides." Thesis, 2002. http://ndltd.ncl.edu.tw/handle/66188117991915201493.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
國立交通大學
電子工程系
90
The dielectric breakdown of ultrathin gate oxides in metal-oxide-semiconductor field-effect-transistors (MOSFETs) is an important reliability issue in ULSI (Ultra Large Scale Integration) technology. A consistent model for intrinsic time-dependent dielectric breakdown (TDDB) of thin oxide is introduced. This model links the existing anode hole injection model and the trap generation statistical model together and describes wearout as a hole induced generation of electron traps. Breakdown in thin oxide is defined as conduction via these traps from one interface to the other, as soon as a critical density of neutral electron traps in the oxide is reached. We will show that neutral electron trap density saturates to a constant for gate oxide thickness less than 2.5nm as well as predict the oxide thickness dependence of QBD distribution.
29

Kang, Ting-Kuo, and 康定國. "A Thorough Investigation of Degradation Mechanisms in Ultrathin Gate Oxides." Thesis, 2001. http://ndltd.ncl.edu.tw/handle/16547953017118944714.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
博士
國立交通大學
電子工程系
89
Degradation phenomena of thin gate oxides exposed to high field stress are a very important issue concerning the reliability of MOS devices. The desire for a physical understanding of the oxide degradation mechanisms is quite urgent as CMOS technology is scaled into the deep submicron regime. Thus, the main purpose of the dissertation is to investigate the degradation mechanisms of thin to ultrathin gate oxides during the high field stressing. Firstly, it is very important to estimate in advance the filled traps generated inside the oxide during F-N stressing. Chapter 2 is to exploit a sensitive gated-diode technique to assess the filled traps inside the oxide. It has been demonstrated that the forward gated-diode technique can find its potential applications in assessing the filled traps in MOSFET thin oxides. Our measurement of the gate voltage shift associated with the forward current peak produces a power law relation between the filled trap density and the electron stress fluence. Then, the power law relation plays an important role in the study of SILC and dielectric breakdown. Recent experimental demonstrations suggest inelastic trap-assisted tunneling (ITAT) as the origin of stress-induced leakage current (SILC) in oxide films, and a series of models are published. Thus, Chapter 3 presents a quite comprehensive procedure to verify inelastic trap-assisted tunneling (ITAT) as SILC mechanism. This procedure is mainly to balance both model and experiments covering SILC until oxides breaks down. The physical aspects of SILC mechanism are clarified in the followings: (i) ITAT does favor the maximum likelihood of tunneling to and from the traps at the specific position; and (ii) the occupied fraction of the total generated neutral density is very low ensuring the possibility of ITAT. Secondly, it is very urgent for the oxide thickness less than 5nm to study the origins of dielectric breakdown including both soft and hard breakdown. Chapter 4 has demonstrated that an oxide thinning cell-based percolation model with parameter correlation can reproduce the statistical distributions of time to soft breakdown in 3.3nm thick oxide. According to the above analysis, the origins of soft and hard breakdown can be clarified in the followings: (i) soft breakdown behaves intrinsically as hard breakdown, that is, they share the same defect (neutral trap) generation process and follow Poisson random statistics; (ii) both are independent events corresponding to different residual oxide thickness tox’ requirements; and (iii) hard breakdown takes place in certain path located different from that for the first soft breakdown. Subsequently, the localization of SBD and HBD paths has been determined electrically, as stated in Chapter 5. Chapter 5 also provides an in-depth analysis on the low-frequency noise (Sid) of nMOSFETs undergoing soft breakdown. The post-SBD Sid does originate from current fluctuations in the SBD percolation paths, which can couple indirectly to drain via underlying channel in series, or directly to drain if SBD path is formed close to drain extension. In particular, a fluctuation in Sid itself in the whole SBD duration is observed for the first time. This phenomenon is very striking since it indeed evidences the dynamic percolation origin concerning the trapping-detrapping processes in and around the SBD paths. Finally, in order to provide a further analysis of the oxide degradation mechanisms, a measure of quantum yield of impact ionization in silicon can essentially assess the average energy of electrons injected into the almost whole gate oxide. Chapter 6 shows quantum yield experiment performed on a 0.13-um nMOSFETs. It is found that the impact ionization induced holes in the gate-to-drain/source overlap regions dominate the substrate current, and as divided by the gate current, the resultant Edge Quantum Yield (EQY) quite matches the existing theory. Further measurements reveal that (i) the injected electrons in stress-induced leakage current (SILC) mode feature inelastic behavior; and (ii) in soft breakdown the injected electrons experience more energy loss or barrier lowering than SILC.
30

MIn, Chu Che, and 朱哲民. "Low Voltage Trap-to-Trap Tunneling (TTT) in PMOSFETs with Ultrathin Oxides." Thesis, 2001. http://ndltd.ncl.edu.tw/handle/33498487978356686819.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
國立交通大學
電子工程系
89
The gate oxide thickness in aggressively scaled MOSFETs is now approaching the direct tunneling regime. The mechanism of leakage current isnt completely understood. This thesis discusses the mechanism of substrate current at low voltage(0V
31

Lin, Li, and 林立. "Deep Depletion Behavior in the Photoresponse of MOS Capacitors with Ultrathin Oxides." Thesis, 2012. http://ndltd.ncl.edu.tw/handle/28003339526980220915.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
國立臺灣大學
電子工程學研究所
100
In this thesis, deep depletion behavior of MOS capacitors with ultrathin oxides is of major concern, while the photoresponse of each sample is also included for better understanding of this behavior. First, MOS capacitors with simple square patterns are fabricated and measured. Accompanied by saturation of gate current, deep depletion behavior occurs when the generation current of minority carriers fails to supply the leakage current. Enhancement of lateral nonuniformity such as illumination or decrease in oxide thickness intensifies the fringing field at edge and makes the saturation current pass through the edge of MOS capacitors more likely. Subsequently, patterns with various changes in the edge-related parameters are designed so as to further recognize the approximate scales of edge regions. The capacitance-voltage and current-voltage curves of samples with electrode width lager than 10 μm and electrode separation of 30 μm are similar to those with simple square patterns. However, current-voltage curves of samples with electrode separation smaller than 20 μm and electrode width of 30 μm exhibit particular transition regions during the saturation of gate currents. Explanation and illustration are consequently proposed, supposing that the behavior originates from the coupling of edge depletion region and the sharing of minority charges between two adjacent electrodes. Under illumination, the transition regions disappear due to the abundant minority carriers.
32

Chien, Chao-Hsin, and 簡昭欣. "Plasma-induced Antenna Effect on the Deep Submicron Devices with Ultrathin Gate Oxides." Thesis, 1997. http://ndltd.ncl.edu.tw/handle/61147722388644745624.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
博士
國立交通大學
電子工程學系
85
In this thesis, the plasma-induced antenna effect on the reliability degradation of devices with ultrathin gate oxides is extensively investigated. This issue is very important in the modern integrated circuit (IC) manufacturing. Many test vehicles are applied and demonstrated as very powerful tools in the evaluation of plasma-induced antenna effect, including charge- to-breakdown (Qbd), initial-electron-trapping-rate (IETR), relative linear trans-conductance reduction and hot-carrier- injection(HCI). A novel method is demonstrated as a effective tool for the evaluation of plasma-induced damage to thin gate oxides. We have found that gate current measured at Vg ( gate voltage ) = Vth (threshold voltage ) under low drain bias ( e.g., 0.1 Volt ) can serve as a good indicator of plasma damage. Since this method is comparable to a routine device parameter measurement, it thus serves as a simple and efficient damage method for studying the plasma charging induced damage. In addition, a helicon wave plasma(HWP) is found to induce lesser degradation of devices than a magnetically-enhanced- reactive-ion-etcher (MERIE) does. A newly discovered resist- related phenomenon, to our best knowledge, is first presented. It is found that during plasma ashing processes, resist actually participates in the plasma charging damage on ultrathin gate oxides. Distinct from electron shading effect, this resist- related damage effect would induce severe degradation for the devices attached to an area-intensive antenna structure. Our results strongly suggest that resist acts not simply as an insulator which can protects devices from plasma charging. Deliberate resist removal by a wet etching process prior to plasma ashing in previous studies will result in a significant underestimation of plasma damage, especially for the devices with ultrathin oxides (< 6nm). A simple model with a combination of the equivalent capacitor circuit and the self-adjustment behavior between the wafer surface and substrate is proposed and shown to successfully explain this resist-related phenomenon. Data in this issue is very valuable to the development of next generation plasma systems and indeed change the intuitive concept about the resist before.
33

Cheng, Jen-Yuan, and 鄭任遠. "Characterization, Modeling and Application of Deep Depletion from MOS Capacitors with Ultrathin Oxides." Thesis, 2012. http://ndltd.ncl.edu.tw/handle/13212065095167748604.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
博士
國立臺灣大學
電子工程學研究所
100
In this work, a comprehensive study on tunneling induced deep depletion (DD) behavior with ultra-thin oxide (2.0 to 2.8nm) of MOSCAPs was investigated from C-V curves experimentally. With comparing the C-V and J-V diagrams simultaneously, it was found that the initiation voltage of DD increases with EOT and early occurrence of DD was observed after oxide breakdown. A new methodology named local depletion capacitance (LDC) model was introduced to evaluate the electrical uniformity quantitatively via C-V in DD region. It was found that the electrical uniformity increases with EOT for SiO2 MOSCAP. In contrast, the HfO2 MOSCAP shows opposite result to SiO2, the electrical uniformity decrease with the EOT. We then therefore extended the tunneling induced DD concept to study the downward C-V from depletion-inversion to DD, the edge fringing effect (EFE) was successfully characterized by EFE based quantum-mechanical C-V incorporated LDC model approach. The result suggested the EFE has a great impact on the device perimeter, i.e., enhanced the edge depletion width of the device. With utilizing the EFE enhanced edge depletion concept, the perimeter-light-absorption (PLA) type MOS tunneling photodiode prototype was demonstrated. Via direct observation enhanced DD at edge via HfO2/SiO2, the sensitivity was recognized a greater improvement (3,000x) than previous research using SiO2 as dielectric (100x). In the appendix of this work, we briefly reported the research in UC Berkeley (with Device Group, Department of Electrical Engineering and Computer Science), funded by National Science Council (NSC) of Taiwan government. Owing to extreme scaling trend, a tremendous low power demand was aroused. The sub-threshold swing (SS) oriented design brings birth to negative-capacitance MOSFET (NCFET) at Berkeley. A non-hysteresis mode (from the latest study in IEDM 2011) in NCFET was adopted here with the whole new thin-silicon-on-conductor (TSOC) architecture. The sub-60mV/dec (Boltzmann’s Limits) can be achieved experimentally, i.e., the 28.3mv/dec operation was obtained without additional strain enhancement.
34

Lin, Huang-Hsuan, and 林黃玄. "Characterization and Modeling of Non-Uniformity Effect in MOS Capacitors with Ultrathin Oxides." Thesis, 2017. http://ndltd.ncl.edu.tw/handle/7k78x5.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
博士
國立臺灣大學
電子工程學研究所
106
The non-uniformity effect is no doubt a great challenge in modern semiconductor technologies, especially for those 3D nanostructured devices. In this dissertation, the influences of the non-uniformity effect on essential characteristics of the devices are studied by employing the simple Al/SiO2/Si structured MOS capacitor ultrathin oxides. Several types of non-uniformity effect that frequently encountered for MOS devices are carefully discussed in the separate Chapters. Chapter 2 studies the influence of ambient etching induced surface roughness. It is demonstrated that the etching induced surface taps at device ambience have significant impact on the minority carrier profile and lateral diffusion current density. When carrier concentration is below equilibrium, the generation process dominates by increasing diffusion current at depletion edge, leading to a higher inversion current. On the other hand, the recombination process dominates when given light illumination by reducing diffusion current at depletion edge, and a smaller inversion current appears. The phenomenon is successfully explained by the proposed diffusion current model. The effect of lateral diffusion becomes even more prominent in nanoscale devices since any fabrication induced surface damage may exist within an electron diffusion length from the device edge. Chapter 3 studies the effect of surface roughness and oxide non-uniformity on device reliability. The TCAD simulations show highly inhomogeneous oxide field in the corner regions of Si surface, which is found to have close relation with oxide reliability by voltage stress tests. It is found that the leakage path and oxide trap generation during positive CVS can be attributed to large fringing field in the concave corner region. On the other hand, large fringing field in both concave and convex corners dominate the oxide reliability during negative CVS. In addition, the stress induced interface traps after negative CVS is centered at Si/SiO2 interface of the convex corner. Chapter 4 studies the relation between C-V frequency dispersion and surface non-uniformity. For n-type MOS with roughened silicon surface, apparent frequency dispersion in accumulation capacitance occurs, and the degree of dispersion increases with increasing roughened area. The phenomenon can be ascribed to the lateral series resistance induced by non-uniform electron distribution due to the oxide thickness non-uniformity and the surface roughness. By taking into account the effect of these series resistance, the accumulation C-V frequency dispersion can be reproduced with a proposed simple circuit model. Furthermore, the dispersion decreases with increasing oxide thickness, indicating the improvement of lateral uniformity. On the other hand, the frequency dispersion is negligible in p-type MOS due to different majority carrier type (i.e., electron or hole). Chapter 5 studies the behavior of non-uniform carrier distribution by visible-light illumination. The photo-induced conductivity modulation effect in n-type MOS tunneling diode is thoroughly discussed. Higher saturation voltage and photocurrent density exist in device with smaller gate dimension due to conductivity modulation effect, which provides a design concept of gate pattern for MOS tunneling photodetectors. The Ilight/Idark is increased by 9.92x and 6.54x if the gate length is reduced from 150 to 10 μm for illumination levels of 1 and 100 mW/cm2, respectively. Taking advantage of conductivity modulation effect, the MOS tunneling photodetectors with comb-shaped electrodes. It is shown that the Ilight/Idark is dramatically reduced if the spacing between the tooth electrodes is narrower than twice of a hole diffusion length. The wider spacing shows high Ilight/Idark but low responsivity in return. We showed that high Ilight/Idark and high responsivity can be simultaneously obtained if the spacing is equal to a hole diffusion length. Meanwhile, the strong photo-induced negative capacitance in MOS(n) was also investigated. The phenomenon stems from the non-uniform hole distributions between device edge and bulk regions as confirmed by TCAD results. It is believed that photo-induced negative capacitance is potential for photodetector application.
35

Hsu, Pei-Lun, and 徐培倫. "Edge-Dependent I-V Behavior of MOS Structures with Ultrathin Oxides under Inversion Region." Thesis, 2013. http://ndltd.ncl.edu.tw/handle/46192966350847286591.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
國立臺灣大學
電子工程學研究所
101
In this thesis, edge-dependent I-V behavior of MOS structures with ultrathin oxides is investigated. Samples with various electrode separations are fabricated and measured in order to understand how edge-related parameters influence tunneling current behavior. We observe two major phenomena from I-V curves when samples are under inversion region: First, the magnitude of saturated currents increases with the electrode separations. Second, I-V curves of samples with electrode separation smaller than 20 um exhibit particular transition regions during the saturation of gate currents. Explanation and illustration are consequently proposed with the help of simulation, supposing that the behavior originates from the changes of minority carrier diffusion currents outside the depletion region. A model that states how hole and electron tunneling currents both control the gate current based on the experimental result is also purposed in order to further explain the tunneling current mechanism under inversion region. Under illumination, the photocurrents are still influenced by edge diffusion currents. However, due to the quick saturation of hole tunneling current, the gate tunneling current is dominated by hole tunneling current, and transition regions disappear. Subsequently, in order to confirm the effect of electron diffusion current on the I-V behavior, patterns with split electrodes and various electrode separations are designed. The I-V curves of new samples exhibit opposite behavior compared to the aforementioned samples; saturated gate currents decrease as the electrode separations increase under inversion region when the bias of outside ring electrode is floating. Since we know that the tendencies of diffusion currents are distinct between two groups of samples because of distinct edge-related electron concentration profiles by simulation, this result perfectly confirms that diffusion current in edge is one of the major reasons that dominates the I-V behavior under inversion region. When under illumination, the saturated photocurrents also exhibit decrements as the electrode separations increase due to the effect of diffusion current.
36

Lin, Hao-Peng, and 林豪鵬. "Characterization of Ultrathin Oxides by High-Frequency C-V Analysis and Temperature-Voltage Stress Method." Thesis, 2010. http://ndltd.ncl.edu.tw/handle/91835632751323247450.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
博士
臺灣大學
電子工程學研究所
98
In this dissertation, ultrathin oxides of MOS capacitor were characterized through high-frequency C-V analysis and external temperature-voltage stress. The nonuniform distribution of oxide charges results in the distortion of high-frequency C-V curve. The lateral nonuniformity (LNU) effect was analyzed and modeled in this work. Firstly, the Terman method, which has been used as a tool for calculating the interface traps density Dit, was modified with the consideration of quantum mechanical effect. When the dielectric layers become thin to the scale around 2 ~ 3 nm, quantum effects should be taken into consideration. The Terman method was modified with quantum mechanism concern and both of theoretical simulation and experimental data were examined to check its feasibility. The QM-based Terman method might also obtain negative Dit if the high-frequency C-V curves are distorted by LNU charge distribution in the dielectric layer of MOS capacitors. To take a deeper look into the constitutions of LNU effects, external constant voltage stress (CVS) and water immersion were applied to clarify the roles of injected carriers in the LNU effects. Besides, the amount of effective oxide charge (Qeff) is also found to be responsible to the LNU effects. Then, the effects of high electric field stress and thermal stress on MOS capacitor were analyzed. After the applying of Fowler-Nordheim stress (FNS) and thermal stress (TS), an abrupt increase of gate injection current can be measured, especially when both FNS and TS (FNTS) were applied together. Some percolation paths might be formed among the oxide defects and cause the abrupt current rise. Interestingly, it is found that the soft breakdown (SBD) time of FNTS devices is prolonged after being treated with subsequent deionized water immersion. Furthermore, the gate current density is recovered due to deionized water immersion treatment. According to Poole-Frenkel conduction model analysis, a shallow trap level of 0.168 eV under the conduction band was extracted. By modeling such shallow trap as ROX (oxide resistance) in parallel with COX, it is supposed to be one factor of the LNU effect as well. Finally, the conclusion of this work and the suggestion for future work were given.
37

Yesibolati, Nulati. "Modification of SnO2 Anodes by Atomic Layer Deposition for High Performance Lithium Ion Batteries." Thesis, 2013. http://hdl.handle.net/10754/293662.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Tin dioxide (SnO2) is considered one of the most promising anode materials for Lithium ion batteries (LIBs), due to its large theoretical capacity and natural abundance. However, its low electronic/ionic conductivities, large volume change during lithiation/delithiation and agglomeration prevent it from further commercial applications. In this thesis, we investigate modified SnO2 as a high energy density anode material for LIBs. Specifically two approaches are presented to improve battery performances. Firstly, SnO2 electrochemical performances were improved by surface modification using Atomic Layer Deposition (ALD). Ultrathin Al2O3 or HfO2 were coated on SnO2 electrodes. It was found that electrochemical performances had been enhanced after ALD deposition. In a second approach, we implemented a layer-by-layer (LBL) assembled graphene/carbon-coated hollow SnO2 spheres as anode material for LIBs. Our results indicated that the LBL assembled electrodes had high reversible lithium storage capacities even at high current densities. These superior electrochemical performances are attributed to the enhanced electronic conductivity and effective lithium diffusion, because of the interconnected graphene/carbon networks among nanoparticles of the hollow SnO2 spheres.
38

Kubát, Jan. "Epitaxní vrstvy oxidu ceru pro optoelektroniku." Master's thesis, 2020. http://www.nusl.cz/ntk/nusl-434890.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
This diploma thesis studies magneto-optical (MO) response of epitaxial thin films of Co-doped ceria. Thin films were characterized by XPS, LEED, STM, spectroscopic ellipsometry and measurement of MO activity. The work focuses on studying MO response of the films depending on film thickness, cobalt concentration, oxidation state of cerium and chemical state of cobalt. Spectra of MO response consist of low energy region where the MO activity is mediated by transitions from defect induced states to conduction band and high energy region where a peak of MO activity appears which we attribute to transitions from valence band to conduction band. In this work we qualitatively explain the effects of the physico- chemical states of the thin films on the structure of the obtained MO spectra, mainly on the appearance of the MO activity in the low energy region, and on the changes of the position of the MO peak. Compared to other preparation methods the epitaxial thin films allow achieving a shift of the MO peak in the direction of higher photon energy.
39

Chou, Peter, and 周培德. "Study on the ultrathin oxide." Thesis, 1994. http://ndltd.ncl.edu.tw/handle/24655215720720583973.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
40

"Synthesis and characterization of ultrathin HfO₂ gate dielectrics." 2006. http://library.cuhk.edu.hk/record=b5892976.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Wang Lei.
Thesis (M.Phil.)--Chinese University of Hong Kong, 2006.
Includes bibliographical references.
Abstracts in English and Chinese.
List of Figures --- p.vi
Chapter Chapter 1 --- Introduction --- p.1
Chapter 1.1 --- Scaling issues of Metal-Oxide-Semiconductor field effect transistor --- p.1
Chapter 1.2 --- Alternative high-k gate dielectrics --- p.4
Chapter 1.3 --- Overview of this thesis --- p.9
References --- p.10
Chapter Chapter 2 --- Deposition and characterization techniques for ultrathin HfO2 films --- p.11
Chapter 2.1 --- Introduction --- p.11
Chapter 2.2 --- Ultrathin Hf02 Films Growth and Post Deposition Modification --- p.11
Chapter 2.2.1 --- Ultrahigh Vacuum Electron-beam Evaporation --- p.11
Chapter 2.2.2 --- High Concentration Ozone Annealing --- p.12
Chapter 2.2.3 --- Plasma Immersion Ion Implantation --- p.14
Chapter 2.2.4 --- Rapid Thermal Annealing --- p.16
Chapter 2.3 --- Compositional Characterization Techniques --- p.17
Chapter 2.3.1 --- X-ray Photoelectron Spectroscopy --- p.17
Chapter 2.3.2 --- Rutherford Backscattering Spectrometry --- p.18
Chapter 2.4 --- Structural and Surface Morphological Characterization Techniques --- p.19
Chapter 2.4.1 --- High-Resolution Transmission Electron Microscopy --- p.19
Chapter 2.4.2 --- Ultrahigh Vacuum Scanning Tunneling Microscopy --- p.20
Chapter 2.4.3 --- Ultrahigh Vacuum Atomic Force Microscopy --- p.22
Chapter 2.5 --- Electrical Characterization --- p.24
Chapter 2.5.1 --- Capacitance-voltage (C-V) Measurement --- p.24
Chapter 2.5.2 --- Current-voltage (I-V) Measurement --- p.25
References --- p.26
Chapter Chapter 3 --- Control of interfacial silicate between Hf and SiO2 by high concentration ozone --- p.27
Chapter 3.1 --- Introduction --- p.27
Chapter 3.2 --- Experimental procedure --- p.28
Chapter 3.3 --- Results and discussion --- p.29
Chapter 3.4 --- Conclusion --- p.35
References --- p.36
Chapter Chapter 4 --- Electrical characteristics of postdepositon annealed ultrathin Hf02 films --- p.37
Chapter 4.1 --- Introduction --- p.37
Chapter 4.2 --- Capacitance of gate stack in metal-insulator-semiconductor structure --- p.38
Chapter 4.3 --- Electrical characteristics of ultrathin HfO2 films by high temperature Ozone oxidation --- p.39
Chapter 4.4 --- Electrical and structural properties of ultrathin HfO2 films by high temperature rapid thermal annealing --- p.46
Chapter 4.5 --- Conclusion --- p.48
References --- p.50
Chapter Chapter 5 --- Effect of nitrogen incorporation on thermal stability of ultrathin Hf02 films --- p.51
Chapter 5.1 --- Introduction --- p.51
Chapter 5.2 --- Experimental procedure --- p.52
Chapter 5.3 --- Results and discussion --- p.52
Chapter 5.4 --- Conclusion --- p.58
References --- p.59
Chapter Chapter 6 --- Local characterization of ultrathin HfO2 films by in-situ Ultrahigh Vacuum Scanning Probe Microscopy --- p.61
Chapter 6.1 --- Introduction --- p.61
Chapter 6.2 --- Experimental procedure --- p.62
Chapter 6.3 --- Morphology and structure of initial growth of HfO2 --- p.63
Chapter 6.4 --- Local characterization of ultrathin HfO2 films by in-situ UHV-STM --- p.66
Chapter 6.5 --- UHV c-AFM study of leakage path evolution in ultrathin Hf02 films --- p.71
Chapter 6.6 --- Conclusion --- p.72
References --- p.73
Chapter Chapter 7 --- Conclusion --- p.74
Publications --- p.76
41

Chang, Tzu-Yueh, and 張資岳. "Memory And Photovoltaic Devices With Ultrathin Oxide Layers." Thesis, 2010. http://ndltd.ncl.edu.tw/handle/36495665520869490060.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
博士
國立交通大學
光電工程學系
99
In this dissertation, we study three green devices using ultrathin oxide layers: two organic bistable devices (OBDs) and one metal-insulator-semiconductor (MIS) solar cell. The ultrathin oxide layers not only can help realize the resistance switching of the OBDs and recognize the corresponding mechanisms, but also can reduce the reversed saturation current of metal-semiconductor solar cells and thus can ameliorate the open-circuit voltage (Voc) of the solar cells. First of all, the properties of an OBD using an n-type Si/Alq3/Al structure are investigated. The bistable effect of the OBD is primarily caused by the interface defects at the Alq3/Al interface. It is worthy to mention that the electrical properties of the OBD can be modified and controlled by utilizing the appropriate deposition rate of the Alq3 thin film. To understand these phenomena, we use high resolution X-ray photoelectron spectroscopy to analyze the chemical composition of the Alq3/Al interface and Alq3 thin films, and atomic force microscopy and grazing incident X-ray diffraction to characterize the properties of Alq3 thin films. Furthermore, the electrical properties of an OBD with a p+-Si/Alq3/nanostructured MoOx/Alq3/Al structure are also investigated. The bistable switching of the OBD attributes to the charge trapping/erasing in the MoOx nanoclusterlike layer interposed between the Alq3 thin films. After charges are trapped in the MoOx nanoclusterlike layer (the high conductance state), the carrier transportation of the OBD will be dominated by a space-charge field which results from trapped charges. Both the retention measurement and write-read-erase-read cycles of the OBD are also provided. Finally, a stacking MIS solar cell structure, which integrates an n-type MIS solar cell with a p-type MIS one, is proposed to effectively enlarge Voc. The measured Voc is up to 0.71V under simulated air mass (AM) 1.5 illumination (100 mW/cm2). This Voc is larger than those of the n-type or p-type MIS solar cells published. Here we successfully demonstrate the feasibility of the Voc enhancement of MIS solar cells by using a stacking structure. The stacking MIS solar cell will play an important role in photovoltaic application for hydrogen generation.
42

Su, Hung-Der, and 蘇宏德. "Characteristics and Characterization Methodologies of Ultrathin Gate Oxide." Thesis, 2003. http://ndltd.ncl.edu.tw/handle/66611923040534112711.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
博士
國立交通大學
電子工程系
92
This thesis studies the scaling challenges and related solutions of ultrathin gate oxide of deep sub-micron technology for chip standby current prediction model (chapter 3), electrical oxide thickness extraction (chapter 4), characteristics of oxide breakdown and related impact on devices (chapter 5) and oxide breakdown voltage detection method (chapter 6). The first topic introduces a chip standby current prediction model that takes into account both the conventional device-off current and the inversion gate current. The second topic focuses on exact electrical oxide thickness (EOT) extraction by high-frequency capacitance-voltage (C-V) measurement with floating well method that excludes parasitic capacitances of C-V pattern contributed by interconnection and bonding pad. The third topic finds the relationship between oxide breakdown of large capacitors and device breakdown of short channel devices by the characteristics of channel length dependence oxide breakdown and related impact on devices. The final topic proposes a bi-mode oxide breakdown detection method that applies voltage stress at inversion mode and detects failure at accumulation mode to enhance the robustness of oxide breakdown test and reduce the possibility of pseudo oxide breakdown failure. The results are described as follows. In deep sub-micron technology, leakage current of the gate oxide layer has a severe impact on chip power consumption due to the scaling down of oxide thickness. The inverter circuit model proposed (chapter 3) provides a simple and quick method of predicting chip standby current. This model takes into account both the conventional device-off current and the inversion gate current, as compared to the conventional approach in which the gate current is small and neglected. The temperature dependence study shows that the logarithm of the device-off current is inversely proportional to the reciprocal of the operation temperature. From 25℃, the device-off current increases tenfold for device operation temperature increase of 50℃, while the inversion gate current is almost temperature independent. Hence, a more aggressive oxide scaling rule could be employed in high-performance products operated at higher temperatures under the same device-off current / inversion gate current ratio. When oxide thickness is scaled down, severe C-V curve distortion is found. The test pattern of small gate area with short gate length can reduce the C-V curve distortion of ultrathin oxide devices, but it results in high parasitic capacitance / total capacitance ratio. The parasitic capacitance cannot be neglected in EOT extraction of ultrathin oxide by traditional C-V method with small pattern area. The parasitic capacitances of C-V pattern contributed by interconnection and bonding pad can be excluded from high-frequency C-V measurement by using the floating well method. The accurate inversion oxide thickness of ultra-thin oxide can be obtained without using any dummy pattern by the floating well method (chapter 4). The floating well method can be employed for EOT extraction of nano device. The oxide breakdown characteristics of ultrathin oxide (2.2 nm) have been studied (chapter 5). Light emission microscopy (EMMI) analysis shows that each breakdown has a specific failure location with a random distribution. Gate current increases for all soft breakdown events are similar. After soft breakdown, the I-V curve still shows the representative direct tunneling characteristics and can be fitted using a dual direct tunneling (DDT) model. Soft breakdown has no effect on the drain current of long-channel devices. Breakdown at the polyedge appears to be of the hard-breakdown mode and results in an abrupt increase in gate current. For short-channel devices, the polyedge is always within the damaged region of an oxide because the channel is shorter than the damaged region of the oxide. Hence, only hard breakdown resulting in permanent damage is observed in short-channel devices. The breakdown detections of ultrathin oxide (1.4 ~ 2 nm) using a fast voltage ramp test have been studied (chapter 6). It was found that the oxide breakdown voltage test of deep sub-micron technology requires the reduction of the gate area of test patterns and therefore the increase of the number of structures due to a large inversion gate current at a low applied voltage (> 0.1A/cm2 at 1V) caused by the scaling down of oxide thickness. The gate current in accumulation mode is smaller than that in inversion mode by more than two orders of magnitude at a low applied voltage (1 V). The bi-mode method proposed in this study applies a voltage stress in the inversion mode and detects failure in the accumulation mode to enhance the robustness of the oxide breakdown test and reduce the possibility of pseudo oxide breakdown failure. With the proposed method, a shorter test time with an increased test pattern area to improve the efficiency of the breakdown test of ultrathin oxide is achieved. The challenges of oxide scaled down of deep sub-micron technology are studied and related solutions are applied to overcome those challenges. The chip standby current prediction, oxide thickness monitoring, device breakdown definition and oxide defect density monitor of the integration circuit manufacturing of deep sub-micron technology with ultrathin oxide could be achieved by new chip standby current prediction model proposed in chapter 3, floating well method proposed in chapter 4, the first oxide breakdown defined as device breakdown in chapter 5, and bi-mode method proposed in chapter 6, respectively.
43

Chen, Chih-Pin, and 陳志斌. "Ultrathin Gate Oxide Integrity for TiN-Gated MOS Capacitors." Thesis, 2002. http://ndltd.ncl.edu.tw/handle/75330237691559509414.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
逢甲大學
電機工程所
91
With shrinking of CMOS devices to the 100 nm regime with ultra-thin gate oxide, we have problems of poly gate depletion, high gate sheet resistance, boron penetration, and process compatibility with high-k gate dielectric materials. To solve these problems, metal gate is a good candidate. We use TiN as gate electrde and thermal oxide for gate oxide. The gate oxide thickness is 4 nm. Direct metal gates have the issues of metal penetration and plasma damages into the SiO2 when prepared by the physical vapor deposition(PVD)method. In the case of sputtering deposition process, the gate oxide exposed to plasma is directory bombarded by energetic particles, including ions, electrons and photons, potentially causing damage to the gate oxide. In this study, we will investigate the effects of TiN sputtering power on the gate oxide integrity of TiN/SiO2/Si metal oxide semiconductor(MOS)capacitors. TiN was deposited at various sputtering power of 8 KW、2KW and 0.5 KW at 200℃. From the data, the electrical characteristics of capacitors having PVD metal gate could be improved by reducing the sputtering power. These included(1)lower gate leakage current density(2)higher breakdown field(3)better TDDB characteristics(4)lower charge trapping characteristics。When we used lower sputtering power, the energetic particles in the plasma might gain lower energy. This result would reduce the plasma-induced gate oxide damage causd by ion bombardment.
44

Den, Li-Dung, and 鄧禮敦. "Formation of ultrathin oxide with a new plasma modification technology." Thesis, 1995. http://ndltd.ncl.edu.tw/handle/78097585027833520928.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
國立交通大學
電子研究所
83
With the scalling down of MOS devices into the deep submicrom region ,ultrathin dielectric with high qualities becomes more and more indispensable. In this work ,we have been developed a new plasma modificationtechnology to form ultrathin SiO2 , which include two plasma processing steps then annealing and then coating and patterning contact material . We find that after the plasma processings ,the oxides are electrically very weak and heavily damaged , but annealing in N2 can repair it to almost as good as control sample . Samples evaporating contact metal by E-Gun are electrically worse than by thermoevaporator. Principles of plasma modification , effects on the oxide electrical qualities of CF4 plasma power and RTA annealing time are also briefly investigated .
45

Baskoro, Febri, and Febri Baskoro. "Fabrication of ultrathin graphene oxide composite membranes for water purification." Thesis, 2016. http://ndltd.ncl.edu.tw/handle/00581570553536367376.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
46

Lu, Jhih-You, and 盧芝佑. "Resistive switching in ultrathin oxide films on p-type silicon." Thesis, 2016. http://ndltd.ncl.edu.tw/handle/71659763516935563328.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
碩士
國立東華大學
物理學系
104
Resistance switching processes in model devices based on ultrathin oxide films deposited on p-Si substrates and effects of inserting tunnel interlayers have been investigated. Atomic layer deposition (ALD) was performed to form the oxide films on the substrates, fabricating devices with an active layer of ZnO or HfO2/ZnO/HfO2 as a tunneling structure. Raman scattering and photoluminescence were performed to analyze the films’ crystalline phases and luminescence properties. Current-voltage relation and corresponding read-resistance have been followed during the processes of electroforming, bipolar and unipolar resistance switching. It was found that the tunnel interlayer enhanced the current injection at low voltage regime and modifying the electroforming, and that the electrical polarity determined the electroforming, selection of multi-levels, and the switching ratio. The observed current-voltage relations showed that the low-voltage regime is described by Ohm’s rule, whereas the high-voltage regime is dominated by space-charge-limited and trap-assisted conduction mechanisms. Furthermore, the critical power triggering a reset process in the unipolar switching cycles was found to follow a universal scaling relation with the critical read-resistance, Pth ∝ Rs^(-2.1).
47

Chang, Long, and 張國郎. "A Study on Reliability of Ultrathin Gate oxide for MOS devices." Thesis, 1997. http://ndltd.ncl.edu.tw/handle/46881182579596083070.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
48

Chen, Jer-hueih Banerjee Sanjay Guha Supratik. "Study of germanium MOSFETs with ultrathin high-k gate dielectrics." 2004. http://repositories.lib.utexas.edu/bitstream/handle/2152/2158/chenjh07080.pdf.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
49

Chen, Jer-hueih. "Study of germanium MOSFETs with ultrathin high-k gate dielectrics." Thesis, 2004. http://hdl.handle.net/2152/2158.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
50

Perng, Tsu-Hsiu, and 彭辭修. "Study on Ultrathin Plasma Nitrided Oxide and HfO2 High-k Gate Dielectrics." Thesis, 2005. http://ndltd.ncl.edu.tw/handle/16163179669846707656.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
博士
國立交通大學
電子工程系所
93
The degradation induced by channel hot electron (CHE) and substrate hot electron (SHE) injection in nMOSFETs with ultrathin plasma nitrided gate dielectric was studied in this thesis. Compared to the conventional thermal oxide, the ultrathin nitrided gate dielectric is found to be more vulnerable to CHE and SHE stress, resulting in enhanced threshold voltage shift and transconductance reduction. The severity of the enhanced degradation increases with increasing nitrogen content in gate dielectric with prolonged nitridation time. While the SHE-induced degradation is found to strongly relate to the injected electron energy for both conventional oxide and plasma-nitrided oxide, dramatic degradation in threshold voltage shift for nitrided oxide is found to occur at a lower substrate bias magnitude, compared to thermal oxide. This enhanced degradation by negative substrate bias in nMOSFETs with plasma-nitrided gate dielectric is attributed to a higher concentration of paramagnetic electron trap precursors introduced during plasma nitridation. On the other hand, similar degradation trend was also found in the pMOSFET devices with ultrathin plasma nitrided gate dielectric. Enhanced threshold voltage shift and transconductance reduction were observed after CHE stress for the nitrided devices. Nevertheless, the pMOSFETs with nitrided gate dielectric suffer larger negative bias temperature instability (NBTI), comparing to that with conventional thermal oxide. Such instability owing to bias-temperature stressing is inconspicuous in nMOSFET devices. The other subject included in this thesis is HfO2, a promising high-k material in gate dielectric of MOSFETs. Metal-insulator-semiconductor (MIS) capacitors were fabricated using atomic vapor deposition (AVD) HfO2 dielectric with sputtered copper and aluminum gate electrodes. The counterparts with SiO2 dielectric were also fabricated for comparison. Bias-temperature stress (BTS) and charge-to-breakdown (QBD) test were conducted to examine the stability and reliability of these capacitors. In contrast with the high Cu drift rate in SiO2 dielectric, Cu in contact with HfO2 seems to be very stable. The HfO2 capacitors with Cu-gate also depict higher capacitance without showing any reliability degradation, compared to the Al-gate counterparts. These results indicate that HfO2 with its considerably high density of 9.68 g/cm3 is acting as a good barrier to Cu diffusion, and it thus appears feasible to integrate Cu metal with the post-gate-dielectric ULSI manufacturing processes. Another application for HfO2 high-k dielectrics is metal-insulator-metal (MIM) capacitors. MIM capacitors using one of the standard back-end metal layers as bottom electrode have emerged as key passive components for microprocessors, high frequency circuits, and mixed-signal integrated circuits applications. A high capacitance density is important for a MIM capacitor to increase the circuit density and reduce the cell area and cost. Therefore, adoption of high-k material like HfO2 is a very efficient way to increase the capacitance density. Experimental results show low leakage current densities of ~5*10^9 A/cm2 and high capacitance density of ~3.4 fF/µm2 at 100 kHz in the MIM capacitors. The temperature coefficient and frequency dispersion effect for these MIM capacitors were very small. Different metal electrodes like tantalum, aluminum, and copper were also investigated and compared. Finally, the mechanism of electrical transport was extracted for the HfO2 MIM capacitors to be Frenkel-Poole type conduction mechanism.