Дисертації з теми "Plasma Circuits"
Оформте джерело за APA, MLA, Chicago, Harvard та іншими стилями
Ознайомтеся з топ-50 дисертацій для дослідження на тему "Plasma Circuits".
Біля кожної праці в переліку літератури доступна кнопка «Додати до бібліографії». Скористайтеся нею – і ми автоматично оформимо бібліографічне посилання на обрану працю в потрібному вам стилі цитування: APA, MLA, «Гарвард», «Чикаго», «Ванкувер» тощо.
Також ви можете завантажити повний текст наукової публікації у форматі «.pdf» та прочитати онлайн анотацію до роботи, якщо відповідні параметри наявні в метаданих.
Переглядайте дисертації для різних дисциплін та оформлюйте правильно вашу бібліографію.
Almustafa, Mohamad. "Modélisation des micro-plasmas, conception des circuits micro-ondes, Coupleur Directionnel Hybride pour Mesures et des applications en Télécommunication." Phd thesis, Toulouse, INPT, 2013. http://oatao.univ-toulouse.fr/14170/1/almustafa.pdf.
Повний текст джерелаÖnel, Hakan. "Electron acceleration in a flare plasma via coronal circuits." Phd thesis, Universität Potsdam, 2008. http://opus.kobv.de/ubp/volltexte/2009/2903/.
Повний текст джерелаDie Sonne ist ein Stern, der aufgrund seiner räumlichen Nähe einen großen Einfluss auf die Erde hat. Seit jeher hat die Menschheit versucht die "Sonne zu verstehen" und besonders im 20. Jahrhundert gelang es der Wissenschaft viele der offenen Fragen mittels Beobachtungen zu beantworten und mit Modellen zu beschreiben. Die Sonne ist ein aktiver Stern, dessen Aktivität sich in seinem magnetischen Zyklus ausdrückt, welcher in enger Verbindung zu den Sonnenfleckenzahlen steht. Flares spielen dabei eine besondere Rolle, da sie hohe Energien auf kurzen Zeitskalen freisetzen. Sie werden begleitet von erhöhter Strahlungsemission über das gesamte Spektrum hinweg und setzen darüber hinaus auch energetische Teilchen frei. Beobachtungen von harter Röntgenstrahlung (z.B. mit der RHESSI Raumsonde der NASA) zeigen, dass ein großer Teil der freigesetzten Energie in die kinetische Energie von Elektronen transferiert wird. Allerdings ist nach wie vor nicht verstanden, wie die Beschleunigung der vielen Elektronen auf hohe Energien (jenseits von 20 keV) in Bruchteilen einer Sekunde erfolgt. Die vorliegende Arbeit präsentiert ein Model für die Erzeugung von energetischen Elektronen während solarer Flares, das auf mit realen Beobachtungen gewonnenen Parametern basiert. Danach bauen photosphärische Plasmaströmungen elektrische Spannungen in den aktiven Regionen der Photosphäre auf. Für gewöhnlich sind diese Potentiale mit elektrischen Strömen verbunden, die innerhalb der Photosphäre geschlossen sind. Allerdings kann infolge von magnetischer Rekonnektion eine magnetische Verbindung in der Korona aufgebaut werden, die die Regionen von magnetisch unterschiedlicher Polarität miteinander verbindet. Wegen der deutlich höheren koronalen elektrischen Leitfähigkeit, kann darauf die photosphärische Spannungsquelle über die Korona geschlossen werden. Das auf diese Weise generierte elektrische Feld führt nachfolgend zur Erzeugung eines hohen elektrischen Stromes, der in der dichten Chromosphäre harte Röntgenstrahlung generiert. Die zuvor erläuterte Idee wird mit elektrischen Schaltkreisen modelliert und untersucht. Dafür werden die mikroskopischen Plasmaparameter, die Geometrie des Magnetfeldes und Beobachtungen der harten Röntgenstrahlung verwendet, um makroskopische elektronische Komponenten, wie z.B. elektrische Widerstände zu modellieren und miteinander zu verbinden. Es wird gezeigt, dass der auftretende koronale Strom mit hohen elektrischen Feldern verbunden ist, welche Elektronen schnell auf hohe relativistische Energien beschleunigen können. Die Ergebnisse dieser Berechnungen sind ermutigend. Die vorhergesagten Elektronenflüsse stehen im Einklang mit aus gemessenen Photonenflüssen gewonnenen Elektronenflüssen. Zudem liefert das Model einen neuen Ansatz für das Verständnis der harten Röntgendoppelquellen in den Fußpunkten.
Dainese, Matteo. "Plasma assisted technology for Si-based photonic integrated circuits." Doctoral thesis, Stockholm, 2005. http://urn.kb.se/resolve?urn=urn:nbn:se:kth:diva-148.
Повний текст джерелаZushi, Takahiro. "Study on Miniaturization of Plasma Wave Measurement Systems." Kyoto University, 2019. http://hdl.handle.net/2433/242507.
Повний текст джерелаAbrokwah, Kwaku O. "Characterization and modeling of plasma etch pattern dependencies in integrated circuits." Thesis, Massachusetts Institute of Technology, 2006. http://hdl.handle.net/1721.1/37054.
Повний текст джерелаLeaf 108 blank.
Includes bibliographical references (leaves 106-107).
A quantitative model capturing pattern dependent effects in plasma etching of integrated circuits (ICs) is presented. Plasma etching is a key process for pattern formation in IC manufacturing. Unfortunately, pattern dependent non-uniformities arise in plasma etching due to microloading and RIE lag. This thesis contributes a semi-empirical methodology for capturing and modeling microloading, RIE lag, and related pattern dependent effects. We apply this methodology to the study of interconnect trench etching, and show that an integrated model is able to predict both pattern density and feature size dependent non-uniformities in trench depth. Previous studies of variation in plasma etching have characterized microloading (due to pattern density), and RIE lag (aspect ratio dependent etching or ARDE) as distinct causes of etch non-uniformity for individual features. In contrast to these previous works, we present here a characterization and computational methodology for predicting IC etch variation on a chip scale that integrates both layout pattern density and feature scale or ARDE dependencies. The proposed integrated model performs well in predicting etch variation as compared to a pattern density only or feature scale only model.
by Kwaku O. Abrokwah.
M.Eng.
Rossi, Alberto. "Développement d'outils d'optimisation dédiés aux circuits magnétiques des propulseurs à effet Hall." Phd thesis, Toulouse, INPT, 2017. http://oatao.univ-toulouse.fr/19234/1/ROSSI_Alberto_public.pdf.
Повний текст джерелаSimon, Antoine. "Étude de dispositifs de limitation de puissance microonde en technologie circuit imprimé exploitant des plasmas de décharge." Thesis, Toulouse, ISAE, 2018. http://www.theses.fr/2018ESAE0037/document.
Повний текст джерелаIn this project, the non-linear interactions between the high-power microwave signal and micro-discharges plasmas integrated in the microwave circuits or antennas of the transmitter (for example,Telecommunication transmitter, RADAR, ...) will be exploited to obtain its reconfigurability. Such a problem addresses a set of competences at the interface between plasma physics and microwaves. It concerns both upstream and engineering considerations. The work to be carried out during this project should make it possible to progress in two research tasks that will structure the activities of the thesis. First, the characterization of microdischarge plasmas will be perform then it will possible to identify and develop reconfigurable microwave devices
Thomas, David John. "Mass spectroscopy of the etching of Si and SiOâ†2 in CFâ†4/Oâ†2 plasmas and X-ray photoelectron spectroscopy of plasma deposited borophosphosilicate glasses." Thesis, University of Bristol, 1989. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.294220.
Повний текст джерелаLaparra, Olivier. "Mise au point et optimisation d'un équipement industriel de dépôts chimiques en phase vapeur activés par plasma (PACVD)." Montpellier 2, 1987. http://www.theses.fr/1987MON20018.
Повний текст джерелаMukherjee, Tamal. "Investigation of Post-Plasma Etch Fluorocarbon Residue Characterization, Removal and Plasma-Induced Low-K Damage for Advanced Interconnect Applications." Thesis, University of North Texas, 2016. https://digital.library.unt.edu/ark:/67531/metadc849649/.
Повний текст джерелаAhner, Nicole. "Wetting Optimized Solutions for Plasma Etch Residue Removal for Application in Interconnect Systems of Integrated Circuits." Doctoral thesis, Universitätsbibliothek Chemnitz, 2013. http://nbn-resolving.de/urn:nbn:de:bsz:ch1-qucosa-102773.
Повний текст джерелаIn mehrlagigen Kupfer/low-k basierten Metallisierungssystemen hochintegrierter elektronischer Bauelemente kann die Entfernung von Residuen nach der Plasmastrukturierung des Dielektrikums mittels herkömmlicher Plasmareinigungsprozesse zur Schädigung der Isolatorschicht und damit zum Ansteigen der relativen Dielektrizitätszahl sowie der Leckströme führen. Neben der Entwicklung schädigungsarmer Plasmaprozesse stellt der Ersatz dieser Prozesse durch Nassreinigungsschritte zur Ätzresiduenentfernung eine vielversprechende Alternative dar. Mit stetig abnehmenden Strukturabmaßen ist bei der Entwicklung dieser Nassreinigungsprozesse neben der Materialkompatibilität auch das Benetzungsverhalten der Reinigungsflüssigkeit von entscheidender Bedeutung, da die Oberflächenenergie der Reinigungslösung das Eindringen dieser in kleinste Strukturen verhindern und es durch hohe Kapillarkräfte zum Kollaps von Grabenstrukturen im Dielektrikum kommen kann. In der vorliegenden Arbeit wurde zunächst mittels Kontaktwinkelanalyse die Oberflächenenergie verschieden prozessierter low-k Dielektrikaschichten sowie herkömmlicher Lösungen zur Entfernung von Ätzresiduen untersucht, um hinsichtlich ihres Benetzungsverhaltens besonders kritische Materialkombinationen aufzuzeigen. Neben der Bestimmung des Benetzungsverhaltens hat sich die Kontaktwinkelanalyse zur Oberflächenenergieberechnung als schnelle und empfindliche Methode zur Analyse der Auswirkung von Plasmaprozessen auf die Oberfläche von low-k Dielektrika erwiesen. Die Untersuchungen haben gezeigt, dass besonders polymerisierende Plasmaprozesse eine niederenergetische Oberfläche erzeugen, welche von den derzeit in der Halbleiterfertigung bevorzugten hochenergetischen wasserbasierten Reinigungslösungen nur schlecht benetzt wird. Um diesem Effekt entgegenzuwirken wurde in der vorliegenden Arbeit die Senkung der Oberflächenenergie der Reinigungslösungen durch Zugabe von Tensiden untersucht. Es wurden mehrere Tenside unterschiedlichen Typs den Reinigungsflüssigkeiten zugemischt und die Kompatibilität dieser Lösungen mit low-k Dielektrika, Kupferschichten und Diffusionsbarrieren untersucht sowie ihr dynamisches Verhalten analysiert. Dabei hat sich gezeigt, dass die Auswahl der geeigneten Spüllösung nach dem eigentlichen Reinigungsprozess von entscheidender Bedeutung ist. Optische, elektrische sowie strukturelle Daten deuten darauf hin, dass bei Verwendung einer Spülung mit deionisiertem Wasser in den meisten Fällen Tensidrückstände im porösen Dielektrikum verbleiben. Eine Spülung mit Isopropanol war hingegen in der Lage, einen Großteil dieser Tensidrückstände zu entfernen. Unter Einbeziehung der Daten zur Materialkompatibilität und dem dynamischen Verhalten der Tensidlösungen bei Raumtemperatur und erhöhter Badtemperatur sowie ihrer Langzeitstabilität konnte schließlich eine Prozessempfehlung für die Verwendung der benetzungsoptimierten Reinigungslösungen in der BEOL-Prozessierung gefunden werden
Han, Seung Soo. "Modeling and optimization of plasma-enhanced chemical vapor deposition using neural networks and genetic algorithms." Diss., Georgia Institute of Technology, 1996. http://hdl.handle.net/1853/14904.
Повний текст джерелаHazebrouck, Sabine. "Planarisation de structures multicouches en technologie bipolaire de circuits intégrés à haute densité d'intégration." Lille 1, 1991. http://www.theses.fr/1991LIL10056.
Повний текст джерелаAvertin, Sébastien. "Développement et caractérisation de procédés de gravure plasma de T.S.V (Through Silicon Via) pour l'intégration tridimensionnelle de circuits intégrés." Thesis, Grenoble, 2012. http://www.theses.fr/2012GRENT029/document.
Повний текст джерелаThe dictates of miniaturization and increased performance followed by microelectronics manufacturers faces currently physical, technological and economic limitations. An innovative alternative to these problems is the three-dimensional integration of integrated circuits. This technology involves the vertical stacking of different levels of functionality on the various circuits, and thus opens the way for multifunctional or heterogeneous systems, with electrical performance that are much better than those existing in the two-dimensional circuits. The stacking of these chips is achievable through crossing vias named TSV for "Through Silicon Via", which are obtained by the succession of different technological steps,. One of these steps is the realization by plasma etching of deep silicon microcavities. Currently two plasma etch processes are mainly used for the design of TSV or other silicon structures, the Bosch Process and the Cryogenic process, in both cases with different advantages and disadvantages. The purpose of this thesis is to develop an innovative and alternative plasma etching method comparing to those currently used, to minimize their disadvantages (sidewall roughness, lack of profiles control, low temperature ...). In this logic two deep etch processes have been considered, exploiting SF6/O2/HBr and SF6/O2/HBr/SiF4 etching chemistries. All the studies focuses at better understanding of the mechanisms of etching and passivation of high aspect ratio cavities, especially through exploitation of XPS surface analysis
El, Bayda Hadi. "Étude du transfert d'énergie entre un arc de court-circuit et son environnement : application à l'Arc Tracking." Toulouse 3, 2012. http://thesesups.ups-tlse.fr/1810/.
Повний текст джерелаArc tracking is an electric arc phenomenon occurring during a short circuit and tending to propagate along the wires. Associated to wire dielectric coating degradation it can lead to power breakdown and damages to surrounding materials and is particularly feared in aeronautics' domain. Electrical power increase and weight reduction for the next generation of aircrafts will lead to use higher voltage and replacing copper by aluminum in the wires. Composite materials will also be more widely used in aircraft structure. These modifications can cause the apparition of a new kind of arc tracking which demands better knowledge of this phenomenon and its consequences. The aim of this thesis is to evaluate the energy transferred in the case of an arc between two nearby cables in a bundle under direct current. A setup has then been developed allowing to power up wire samples for a given period of time under a specified current. Electrical measurements were correlated to high speed imaging and measurements of radiated energy performed with radiation heat flux sensors. In order to study wire degradation, samples are weighted before and after the tests. From these measurements, thermodynamic analysis and calculation of net emission coefficients of air-metal (Al and/or Cu) plasma, the energy balance has been achieved. The results obtained show that for copper cables (DR) and aluminum cables (AD), the amount of energy transferred to the electrodes is about 40 % of the input electric energy. The quantity of radiated power is between 25 and 28 % (up to 30 % for aluminum cable) of the arc column energy, the half being in the VUV range. Between 24 and 34 % of the total energy is supplied to the plasma and will be lost by conducto-convection. In the case of aluminum cables, energy of combustion due to the formation of aluminum oxides is added to the electrical energy; cable erosion is higher but leads paradoxically to arcing times significantly lower than in the case of copper cables. A second experimental setup has been developed to study the case of an arc occurring between an extremity of a cable and a composite plate. Series of tests were realized to characterize this type of discharge, by performing electrical measurements correlated with arc fast imaging. Furthermore, the heating of plate was measured using an infrared camera. Results show that the rise in temperature due to the energy transfer to the electrodes using copper was faster than when using aluminum cable
Avertin, Sebastien. "Développement et caractérisation de procédés de gravure plasma de T.S.V (Through Silicon Via) pour l'intégration tridimensionnelle de circuits intégrés." Phd thesis, Université de Grenoble, 2012. http://tel.archives-ouvertes.fr/tel-00771420.
Повний текст джерелаServera, Marc. "Etude d'un système de masquage pour microlithographie sensible en UV profond et développable par plasma d'oxygène." Montpellier 2, 1990. http://www.theses.fr/1990MON20092.
Повний текст джерелаDuluard, Corinne Ranson Pierre. "Etude de nouvelles voies de passivation non polymérisante pour la gravure profonde du silicium." S. l. : S. n, 2009. ftp://ftp.univ-orleans.fr/theses/corinne.duluard_1712.pdf.
Повний текст джерелаPerel, Thomas. "Protection des circuits électriques des avions plus composites et plus électriques : propriétés électriques des varistances élaborées par "Spark Plasma Sintering"." Toulouse 3, 2014. http://thesesups.ups-tlse.fr/2480/.
Повний текст джерелаSince several years, manufacturers are interested in composite materials for the fabrication of their aircrafts as well as the electrification on deported equipments related to the concept of "more electric" aircraft. The performance criteria of these technological changes are significant (weight gains, volume, operating cost, efficiency. . . ), but are sources of new problems especially in case of lightning strike. The protection of the equipments after a lightning strike on the aircraft structure must be performed by a system or a component having a highly non-linear current voltage characteristic and able to absorb high energy density. Thanks to their properties, Zinc oxide based varistors seems to be good candidates. Flash Sintering technique or "Spark Plasma Sintering" is a particularly interesting production technique. Indeed, this sintering technique is known to increase the kinetics of densification of materials. Thus, a better control of their microstructure suggests maximized electrical performances for a maximum compactness. The objectives of this work are to study the influence of the sintering method on the electrical properties of the material and to show the advantages and disadvantages compared to a conventional sintering method. For this, an electrothermal model based on the barrier height variation is proposed. On the other hand, different encapsulation ways are tested and some recommendations are proposed concerning theirs choice. Finally, as the decrease of the component grain inevitably leads to a decrease on the maximum permissible energy density, we show the limits of the electrical characteristics obtained on samples sintered by Spark Plasma Sintering
Gendry, Michel. "Passivation de InP par sulfuration plasma HF pour la formation de l'isolant de grille d'un transistor MISFET." Grenoble 2 : ANRT, 1987. http://catalogue.bnf.fr/ark:/12148/cb376053592.
Повний текст джерелаMulfinger, G. Robert. "Investigation of induced charge damage on self-aligned metal-gate MOS devices /." Online version of thesis, 2006. http://hdl.handle.net/1850/2036.
Повний текст джерелаRemiat, Bruno. "Couches minces diélectriques à faible et très faible permittivité destinées aux interconnexions des circuits intégrés : élaboration - caractérisation - intégration." Montpellier 2, 2003. http://www.theses.fr/2003MON20121.
Повний текст джерелаLenormand, Jérôme. "Mise au point et optimisation d'étapes de gravure par plasma dans la fabrication de procédés BiCMOS haute fréquence." Caen, 2001. http://www.theses.fr/2001CAEN2038.
Повний текст джерелаPires, Fabrice. "Etude de diélectriques à faible permittivité destinés à l'isolation des niveaux d'interconnexions de circuits intégrés de haute densité." Lyon, INSA, 1999. http://www.theses.fr/1999ISAL0072.
Повний текст джерелаThis work presents a study of materials with low permittivity for the insulation of interconnections of integrated circuits. Three materials have been particularly evaluated: a) the fluorinated silicon oxide (SiOF) deposited by PECVD and HDPCVD, b) the methyl-silsesquioxane (MSQ) deposited by spincoating, and c) the hydrogensilsesquioxane (HSQ) also deposited by spin-coating. In the case of SiOF, we have demonstrated that the material stability increases with the density and decreases with the fluorine concentration. So the material stability can be obtained only for a relative permittivity above 3. 6, which is too high. In the case of MSQ, the thermal stability has been checked but the material is degraded by the basic solutions of stripping processes or by the oxidative plasmas. So new processes inducing less degradations have been developed but the improvements remain insufficient. Finally for HSQ, we have proved that etching and stripping processes previously optimized with the MSQ give good results, and allow to conserve the low permittivity of HSQ (3. 0). Moreover a molecutar simulation study has allowed to precise the mechanisms explaining the reduction of silsesquioxane permittivities. In conclusion, the ability of the material to be integrated in interconnection structures has been demonstrated, and the process transferred to the production unity
Ait, Saada Aomar. "Caractérisation d'un plasma RF : Influence des paramètres du plasma sur les dépôts de passivation du phosphure d'indium (INP)." Nancy 1, 1987. http://www.theses.fr/1987NAN10037.
Повний текст джерелаGourhant, Olivier. "Elaboration et caractérisation de matériaux à très faible constante diélectrique de type a-SiOCH élaborés par PECVD : application aux interconnexions des circuits intégrés." Grenoble 1, 2008. http://www.theses.fr/2008GRE10275.
Повний текст джерелаThe enhancement of integrated circuits performances needs the development of new materials, like ultra low permittivity dielectrics, called Ultra Low-K (K<=2,5). This study focus on porous SiOCH thin films elaborated by PECVD via a "porogen" approach. This approach consists in the deposition of a SiOCH matrix containing organics inclusions which are removed afterwards, via a post-treatment, to create porosity. The first part of this study shows that the porogen approach extension has led to materials having a dielectric constant of 2. 25 by using an industrial process with a UV assisted thermal curing as post-treatment. Some of these materials have been integrated in demonstrators. In the second part of this study, elaboration process impact on chemical structure has been analysed to better understand mechanical behaviour of these materials. Finally, a characterization method has been set up to measure the different contributions to the dielectric constant (electronic, ionic and dipolar). Then, the evolution of these contributions as a function of the elaboration parameters has been studied
Jeannot, Simon. "Développement de matériaux déposés par PECVD pour les interconnexions optiques dans les circuits intégrés par une approche "back-end"." Lyon, INSA, 2006. http://theses.insa-lyon.fr/publication/2006ISAL0042/these.pdf.
Повний текст джерелаIn this thesis, we are interested in the use of a generalized cylinder state model for semi-automatic analysis of three-dimensional vascular images. This model is used on two levels: for image segmentation and quantification of the stenosis degree. The model is introduced in a vessel tracking strategy based on the Kalman state estimator, associated with the segmentation of plane contours by the level sets algorithm known as ``fast marching''. The interpretation of the model as a continuous geometrical object grants access to the analytical formulas used for stenosis quantification such as diameters and transversal areas. The algorithm was evaluated on a basis of 6 physical phantoms imaged in computed tomography angiography and in magnetic resonance angiography
Chausseau, Matthieu. "Optimisation du traitement de l'information contenue dans les spectres émis par un plasma à couplage inductif." Lyon 1, 2001. http://www.theses.fr/2001LYO10155.
Повний текст джерелаFavennec, Laurent. "Développement de matériaux diélectriques pour les interconnexions des circuits intégrés a-SiOC:H poreux "Ultra Low K" et a-SiC:H "Low K"." Montpellier 2, 2005. http://www.theses.fr/2005MON20160.
Повний текст джерелаArtufel, Claude. "Caractérisation et analyse de la gravure du polysilicium par le chlore avec le système plasma triode pour la réalisation de circuits à haut niveau d'intégration." Aix-Marseille 2, 1987. http://www.theses.fr/1987AIX22019.
Повний текст джерелаArtufel, Claude. "Caractérisation et analyse de la gravure du polysilicium par le chlore avec le système plasma triode pour la réalisation de circuits à haut niveau d'intégration." Grenoble 2 : ANRT, 1987. http://catalogue.bnf.fr/ark:/12148/cb37602408q.
Повний текст джерелаCamargo, Da Costa José. "Réalisation de couches minces de nitrure de silicium et d'oxyde de silicium nitruré par plasma réactif d'ammoniac en vue d'applications pour les technologies de circuits intégrés submicroniques." Grenoble INPG, 1988. http://www.theses.fr/1988INPG0026.
Повний текст джерелаCamargo, Da Costa José. "Réalisation de couches minces de nitrure de silicium et d'oxyde de silicium nitruré par plasma réactif d'ammoniac en vue d'applications pour les technologies de circuits intégrés submicroniques." Grenoble 2 : ANRT, 1988. http://catalogue.bnf.fr/ark:/12148/cb376123764.
Повний текст джерелаHutsel, Brian T. Kovaleski Scott D. "Runtime and jitter of a laser triggered gas switch." Diss., Columbia, Mo. : University of Missouri--Columbia, 2008. http://hdl.handle.net/10355/5783.
Повний текст джерелаCharles-Alfred, Cédric. "Mise au point de matériaux barrières diélectriques de type 1-SiC:H à faible permittivité déposés par PECVD pour réduire la capacité intermétallique dans les interconnexions avancées des circuits intégrés." Nantes, 2009. http://www.theses.fr/2009NANT2088.
Повний текст джерелаWith the decreasing size of transistors, the performances of integrated circuits are no longer limited by the switching time of these transistors. The propagation delay in the metallic lines that connect these transistors to each other is the limiting factor. In order to reduce this delay, new materials with low dielectric permittivity are developed for the insulation of the metallic lines. This study focuses on the dielectric barrier against copper diffusion. The material developed in this thesis is an amorphous hydrogenated silicon carbide (a-SiC: H). It is usually deposited by PECVD using Trimethylsilane (3MS) diluted in helium as precursor. In this study, it was decided to add an organic precursor (toluene or propylene or ethylene) to the gas mixture 3MS/He to control the proportion of carbon incorporated in the film. Indeed, the results show that, whatever the organic precursor used, the incorporation of C-H bonds in the film structure leads to the reduction of the dielectric constant of the material deposited (from 4. 8 to 3. 2) by acting on the density of the film without causing the appearance of any porosity. However, the analyses made on these materials show that a compromise is needed between the carbon incorporation (C / Si = 2) and density of the films (d ≥ 1. 3) in order to decrease the permittivity without affecting the properties needed for integration. The fabrication of a demonstrator showed that the materials developed are compatible with the processes already used by manufacturers. Moreover, the first experiments done on insulation of through silicon vias show that those materials are suitable for future technologies such as 3D integration
Ahner, Nicole [Verfasser], Thomas [Akademischer Betreuer] Geßner, Thomas [Gutachter] Geßner, Stefan E. [Akademischer Betreuer] Schulz, and Michael [Gutachter] Hietschold. "Wetting Optimized Solutions for Plasma Etch Residue Removal for Application in Interconnect Systems of Integrated Circuits / Nicole Ahner ; Gutachter: Thomas Geßner, Michael Hietschold ; Thomas Geßner, Stefan E. Schulz." Chemnitz : Universitätsbibliothek Chemnitz, 2013. http://d-nb.info/1214244793/34.
Повний текст джерелаLee, Ko-Hsin. "INVESTIGATION ET FABRICATION DE STRUCTURES EN CRISTAUX PHOTONIQUES BIDIMENSIONNELS POUR EMISSION DE LUMIERE ET CONTROLE DE MODE OPTIQUE A 1,55 µm." Phd thesis, Université Paris Sud - Paris XI, 2008. http://tel.archives-ouvertes.fr/tel-00309092.
Повний текст джерелаLes CP sont ici un réseau de trous fabriqués à l'aide de la gravure ionique réactive associée à un plasma à couplage inductif. Dans un plasma Cl2/Ar optimisé, nous avons obtenu une profondeur de gravure de 2,9 µm pour des trous de 250 nm diamètre. Nous avons montré que la présence de N2 dans un plasma contenant du chlore renforce la gravure anisotrope et supprime la rugosité des surfaces gravées, et que l'addition de BCl3 permet d'augmenter la verticalité des trous. Le plasma BCl3/N2 a permis d'obtenir les meilleurs profils et états de surface et une profondeur gravée de 1 µm.
Plusieurs géométries d'adaptateurs de mode à CP ont été étudiées et leurs spectres de transmission ainsi que la divergence du mode émergent ont été caractérisés et comparés avec les résultats de simulation. La meilleure géométrie conduit à une amélioration de l'efficacité de transmission d'un facteur 4. Les guides W1 sur membrane InP présentent des pertes de propagation de 25 dB/cm pour des fréquences situées sous la ligne de lumière.
Pichon-Balmadier, Laurianne. "Etude et optimisation du procédé STiGer de gravure profonde du silicium." Thesis, Orléans, 2010. http://www.theses.fr/2010ORLE2006.
Повний текст джерелаSilicon deep etching is part of microsystems and power microelectronic devices manufacture process.Nowadays, two main processes (Bosch and cryogenic) are used to pattern silicon at a micrometric scale.This work focuses on the study and the optimisation of a process for deep silicon etching, named STiGerprocess. The latter consist in alternating etching and passivation plasmas, as for the Bosch process. Italso comprise a cryogenic process like plasma chemistry, since a SiOxFy passivation film is deposited by aSiF4/02 plasma, the silicon substrate being cooled down to cryogenic temperatures. This work includesthe characterisation of the passivation film, in order to efficiently and rapidly develop STiGer etchingprocesses. The SiOxFy film deposited by SiF4/02 plasma has been characterized by in situ FTIR, by insitu spectroscopic ellipsometry as weil as by experiments, that allowed to evaluate the passivation filmresistance to a SF6 etching plasma. The SiOxFy film growth is enhanced by the presence of 0 and SiOFxradicals in the plasma, when the substrate temperature is less than -80°(. Moreover, the film resistanceto an etching plasma may be due to its thickness. The SiOxFy film grown by a SF6/02 plasma has beencharacterised by in situ XPS in collaboration with IMN. When the substrate is heated up to ambienttemperature, the oxygen containing components desorb first at a temperature of approximately -90°(.Secondly, the desorption of the SiF4 molecule is caused by a rearrangement of the atoms at the surface.Finally, STiGer process has been optimized for two applications: etching of submicronic trenches for 3Dcapacitance production and through wafer etching for interconnexion
Ahner, Nicole. "Wetting Optimized Solutions for Plasma Etch Residue Removal for Application in Interconnect Systems of Integrated Circuits: Benetzungsoptimierte Reinigungslösungen für die Entfernung von Plasmaätzresiduen für die Anwendung im Verdrahtungssystem integrierter Schaltungen." Doctoral thesis, Universitätsverlag der Technischen Universität Chemnitz, 2011. https://monarch.qucosa.de/id/qucosa%3A19826.
Повний текст джерелаIn mehrlagigen Kupfer/low-k basierten Metallisierungssystemen hochintegrierter elektronischer Bauelemente kann die Entfernung von Residuen nach der Plasmastrukturierung des Dielektrikums mittels herkömmlicher Plasmareinigungsprozesse zur Schädigung der Isolatorschicht und damit zum Ansteigen der relativen Dielektrizitätszahl sowie der Leckströme führen. Neben der Entwicklung schädigungsarmer Plasmaprozesse stellt der Ersatz dieser Prozesse durch Nassreinigungsschritte zur Ätzresiduenentfernung eine vielversprechende Alternative dar. Mit stetig abnehmenden Strukturabmaßen ist bei der Entwicklung dieser Nassreinigungsprozesse neben der Materialkompatibilität auch das Benetzungsverhalten der Reinigungsflüssigkeit von entscheidender Bedeutung, da die Oberflächenenergie der Reinigungslösung das Eindringen dieser in kleinste Strukturen verhindern und es durch hohe Kapillarkräfte zum Kollaps von Grabenstrukturen im Dielektrikum kommen kann. In der vorliegenden Arbeit wurde zunächst mittels Kontaktwinkelanalyse die Oberflächenenergie verschieden prozessierter low-k Dielektrikaschichten sowie herkömmlicher Lösungen zur Entfernung von Ätzresiduen untersucht, um hinsichtlich ihres Benetzungsverhaltens besonders kritische Materialkombinationen aufzuzeigen. Neben der Bestimmung des Benetzungsverhaltens hat sich die Kontaktwinkelanalyse zur Oberflächenenergieberechnung als schnelle und empfindliche Methode zur Analyse der Auswirkung von Plasmaprozessen auf die Oberfläche von low-k Dielektrika erwiesen. Die Untersuchungen haben gezeigt, dass besonders polymerisierende Plasmaprozesse eine niederenergetische Oberfläche erzeugen, welche von den derzeit in der Halbleiterfertigung bevorzugten hochenergetischen wasserbasierten Reinigungslösungen nur schlecht benetzt wird. Um diesem Effekt entgegenzuwirken wurde in der vorliegenden Arbeit die Senkung der Oberflächenenergie der Reinigungslösungen durch Zugabe von Tensiden untersucht. Es wurden mehrere Tenside unterschiedlichen Typs den Reinigungsflüssigkeiten zugemischt und die Kompatibilität dieser Lösungen mit low-k Dielektrika, Kupferschichten und Diffusionsbarrieren untersucht sowie ihr dynamisches Verhalten analysiert. Dabei hat sich gezeigt, dass die Auswahl der geeigneten Spüllösung nach dem eigentlichen Reinigungsprozess von entscheidender Bedeutung ist. Optische, elektrische sowie strukturelle Daten deuten darauf hin, dass bei Verwendung einer Spülung mit deionisiertem Wasser in den meisten Fällen Tensidrückstände im porösen Dielektrikum verbleiben. Eine Spülung mit Isopropanol war hingegen in der Lage, einen Großteil dieser Tensidrückstände zu entfernen. Unter Einbeziehung der Daten zur Materialkompatibilität und dem dynamischen Verhalten der Tensidlösungen bei Raumtemperatur und erhöhter Badtemperatur sowie ihrer Langzeitstabilität konnte schließlich eine Prozessempfehlung für die Verwendung der benetzungsoptimierten Reinigungslösungen in der BEOL-Prozessierung gefunden werden.
Babaud, Laurène. "Développement et optimisation d’un procédé de gravure grille polysilicium pour les nœuds technologiques 45 et 32 nm." Grenoble INPG, 2010. http://www.theses.fr/2010INPG0034.
Повний текст джерелаOne of the critical parameters in a system on chip manufacturing and performance is the dimension control of the transistor gate. For the 45 nm technology node, the total variation of this critical dimension must be below 2. 8 nm on 300mm diameter substrate. This PhD work studies the plasma/materials interaction for an industrial polysilicon gate etch process for the 45nm technology node. The dimensional analysis of the pattern combined with the understanding of the plasma etch mechanisms by chemical characterization of the surfaces exposed to the plasma enable us to characterize and optimize the etch process. Moreover, corrective actions were put in place in order to control variations sources. Notably, the formation of fluorocarbon passivation layer on the polysilicon sidewalls, controlled by the plasma conditions, allowed us to develop an innovation regulation loop correcting the CD dispersion from a lot to another. Such kind of loop using multiple plasma parameters would play a key role in the CD control of the next technologic node
Leclercq, Jean-Louis. "Dépôt de nitrure de phosphore sur InP par P. E. C. V. D. Précédé d'un nettoyage "in situ" pour la réalisation d'un transistor MISFET." Montpellier 2, 1990. http://www.theses.fr/1990MON20174.
Повний текст джерелаBenaboud, Rym. "Étude thermodynamique et élaboration de dépôts métalliques (W-N-C, Ti-N-C) par PEALD (Plasma Enhanced Atomic Layer Deposition) pour la réalisation d'électrodes de capacités métal / isolant / métal dans les circuits intégrés." Grenoble INPG, 2009. http://www.theses.fr/2009INPG0055.
Повний текст джерелаMIM (Metal Insulator Metal) capacitors are widely integrated for RF and analog applications. The 3D architecture allows a capacitance density gain but require high conformality deposition technique to get conformal layers and high performance. PEALD method was used for the electrode and dielectric thin films deposition. Electrodes must exhibit a low resistivity, present a high work function and exhibit a good chemical compatibility with the dielectric material. To address these issues, Ti-N-C and W-N-C films have been investigated and deposited from organometallic precursors TDMAT et BTBMW. Chemical and electrical properties of the elaborated films and their integration as electrode in MIM capacitors are investigated
Nguyen, Van Duy. "Modes normaux des oscillations de la phase supraconductrice dans des chaînes de jonctions Josephson." Thesis, Université Grenoble Alpes (ComUE), 2018. http://www.theses.fr/2018GREAY050/document.
Повний текст джерелаThe subject of thesis is a theorerical study of normal modes of plasma oscillations in superconducting Josephson junction chains. The properties of these normal modes can be controlled by choosing an appropriate spatial modulation of the junction parameters along the chain and/or an appropriate coupling to the external environment. The theoretical work at LPMMC is performed in a close collaboration with the experimental Quantum Coherence group at Néel Institute. The specific problems studied in this thesis are : detailed modeling of the normal mode coupling to the environment for probing them in a microwave transmission experiment, intrinsic dissipation of plasma oscillations due to the presence of non-equilibrium quasi-particles, optimization of the spatial structure of the Josephson junction chain for its use as a super-inductance
Fraczkiewicz, Alexandra. "Développement de la tomographie par rayons X en synchrotron pour l'industrie : application à l'analyse de défaillance en intégration 3D." Thesis, Université Grenoble Alpes (ComUE), 2017. http://www.theses.fr/2017GREAY088/document.
Повний текст джерелаThis PhD thesis aims at developing new characterization techniques for 3D integration in microelectronics. More specifically, the focus is set on recent ESRF (European Synchrotron Radiation Facility) beamlines, both for 3D imaging by tomography and for strain measurements by Bragg diffraction.3D integration aims at reducing the global microelectronics devices footprint and connections length, by stacking the dies on top of one another instead of setting them one to another. This new geometry however requires new connections, such as copper pillars (CuP) and copper pads, used in hybrid bonding. The monitoring of their fabrication process requires their imaging in three dimensions, and the measure of the strain inside them. Those measurements must be conducted on large areas (100 µm2), with high resolution (500 nm for strain and 100 nm for imaging). Moreover, given the industrial context of this study, the characterization methods must be as routine and automatic as possible.To answer those needs, several techniques have been developed in this work.Two 3D imaging techniques have been made compatible with the requirements of 3D integration characterization. A Slice and View procedure has been implemented inside a single beam PFIB, leading to large volumes 3D automated imaging. The tomography workflow accessible on the ID6A beamline of the ESRF has been adapted, in order to limit the human intervention and beam times. This leads to possible statistical measurements on this beamline.Strain measurements have been conducted on the ID01 beamline of the ESRF, on silicon and copper stacks meant for direct and hybrid bonding. They allowed for simultenous local strain measurements in two independent layers of silicon, and textit{in situ} measurements in copper.In this work, we show the possibilities of synchrotron based techniques (here, tomography and Bragg diffraction) for the chacracterization of 3D integration devices. We show that, provided some adjustments, these techniques can be used routinely for the microelectronics field
Beaurain, Arnaud. "Étude de fonctions passives à base de nanostructures photoniques." Lille 1, 2003. https://ori-nuxeo.univ-lille1.fr/nuxeo/site/esupversions/bd95bbe3-986e-42ca-b758-5597d0012cf9.
Повний текст джерелаVallat, Rémi. "Dépôts sélectifs d'oxydes de Titane et de Tantale par ajout d'un plasma de gravure dans un procédé PEALD pour application aux mémoires résistives." Thesis, Université Grenoble Alpes (ComUE), 2018. http://www.theses.fr/2018GREAT073/document.
Повний текст джерелаAt advanced nodes, lithography starts to dominate the wafer cost (EUV, managing multiple mask passes per layer and pattern placement error….). Therefore, complementary techniques are needed to continue extreme scaling and extend Moore’s law. Selective deposition and etching is one of them because they can be used to increase and enhance patterning capabilities at very low cost. From all the different deposition processes, Atomic Layer Deposition (ALD) is maybe the most suitable technique to develop a selective process due to its very good coverage property and its high surface sensitivity. This process is called Area Selective Deposition and is a selective deposition process for bottom-up construction It is usually based on a specific surface activation or deactivation treatment in order to activate or limit / inhibit chemical reactions with the ALD precursor / reactant. This surface modifications are usually obtained by using seed layer (activation) or organic groups such as Self-Assembled Monolayers (SAM) (deactivation). Another pathway for selective area deposition with ALD is to take advantage of the inherent substrate-dependent growth initiation: this is inherent selectivity based on difference of nucleation delay. In this thesis, we have proposed a new ASD process of thin oxide by combining atomic layer deposition and etching step (super-cycle) for a 3D Vertical RAM integration. This allows the selective growth of a thin oxide on a metal substrate without deposition on an insulator and/or a semi-conductor substrate(s). The etching step is achieved by NF3 addition in an oxygen plasma every n cycles of the PEALD process allowing (1) to etch the oxide layer on Si and/or SiO2 surface while keeping few nanometers of oxide on TiN substrate and (2) to passivate this two surfaces and to add a new incubation time on Si or SiO2 substrates. We used this process for the deposition of two oxides that are currently under study for non-volatile resistive memories applications: Ta2O5 and TiO2. The intention for memory application is to realize a crosspoint memory in Back-End level from a pattern area or a trench area without the photolithography step
Duluard, Corinne. "Etude de nouvelles voies de passivation non polymérisante pour la gravure profonde du silicium." Phd thesis, Université d'Orléans, 2009. http://tel.archives-ouvertes.fr/tel-00413276.
Повний текст джерелаVerdonck, Patrick Bernard. "Corrosão de tungstenio por plasma." [s.n.], 1993. http://repositorio.unicamp.br/jspui/handle/REPOSIP/260413.
Повний текст джерелаTese (doutorado) - Universidade Estadual de Campinas, Faculdade de Engenharia Eletrica
Made available in DSpace on 2018-07-18T13:53:57Z (GMT). No. of bitstreams: 1 Verdonck_PatrickBernard_D.pdf: 18440286 bytes, checksum: 3be31f1d7e48fc0452a7a3898433e08a (MD5) Previous issue date: 1993
Resumo: Neste trabalho apresentamos o desenvolvimento de processos de "back-etch" para a formação de "plugs" e de processos para obter estruturas em tungstênio com paredes verticais. Ao mesmo tempo apresentamos o estudo dos mecanismos da corrosão de tungstênio por plasma. Neste trabalho usávamos principalmente dois tipos de equipamentos de corrosão por plasma. O primeiro tem a potência aplicada a uma frequência de 25 kHz, ao invés da tradicional 13,56 MHz. O segundo é do tipo reator com confinamento magnético. Em ambos os sistemas é possível obter corrosão química e corrosão induzida por bombardeamento iônico. Conseguimos obter bons processos de IIback-etch" em ambos os equipamentos. É possível obter estruturas de tungstênio com paredes verticais em ambos os equipamentos. Porém a seletividade de tungstênio para fotorresiste é sempre baixa, tipicamente 1:1. Portanto precisar-se-ia de um tipo de máscara especial para a corrosão de tungstênio quando este é usado como interconexão. A maioria dos mecanismos, como descritos na literatura foi confirmada neste trabalho. Onde há contradições na literatura, conseguimos determinar qual mecanismo é valido, como no caso de corrosão química, ou em quais circunstâncias qual mecanismo é válido, como no caso da formação e influência do óxido de tungstênio. Podemos também concluir que para a grande maioria dos processos, o mecanismo que limita a taxa de corrosão do tungstênio, é a chegada das espécies reativas na superfície da lâmina. Para os outros processos, há fortes indicações que a interação plasmatungstênio- resiste causa fenômenos que limitam a taxa de corrosão. As conclusões deste trabalho não são apenas válidas para os equipamentos estudados aqui, mas também para outros sistemas
Abstract: In this work, we present the development of processes to obtain a back etch process for plug formation in via holes and of processes to obtain tungsten structures with vertical walls. At the same time, the mechanisms behind the tungsten etching were studied. These studies were mainly performed in two different types of equipment. The first one is a system with power applied at 25 kHz, instead of the traditional 13.56 MHz. The second equipment is a magnetically confined reactor. In both systems it is possible to have chemical etching and bombardment enhanced etching. We were able to develop good back etch processes in both equipment. It is possible to obtain tungsten structures with vertical walls in both equipment, but the selectivity of the tungsten towards the resist is always low, typically 1:1. Therefore, one would need special mask structures or materiaIs for this type of etching of tungsten when it is used as an interconnect material ( e.g. as metal 1 ). Most of the mechanisms of the tungsten etching as reported in the literature were confirmed in this work. Where there are contradictions, mainly about the possibility of chemical etching of tungsten and the formation and influence on the etching of the tungsten oxide, we were able to draw one conclusion, e.g. that chemical etching is possible, or indicate in which circurnstances one mechanism is valid and in which circurnstances another mechanism is valid, as in the case of the etch delaying role of tungsten oxide. We could also conclude that the arrival of the active species at the surface of the wafer is the etch rate limiting step most processes. For other processes, we have strong indications that the interaction plasma-tungsten-resist induces some phenomena which limit the etch rate. And for some other processes the removal of the etch product can be indicated as the etch rate limiting step. These conclusions are not only valid for the systems studied in this work, but also for other types of equipment
Doutorado
Doutor em Engenharia Elétrica
Svensson, Anders. "Current Disruptions in a Plasma Driven Probe Circuit." Thesis, KTH, Alfvénlaboratoriet, 1996. http://urn.kb.se/resolve?urn=urn:nbn:se:kth:diva-39338.
Повний текст джерелаWosinski, Lech. "Technology for photonic components in silica/silicon material structure." Doctoral thesis, KTH, Microelectronics and Information Technology, IMIT, 2003. http://urn.kb.se/resolve?urn=urn:nbn:se:kth:diva-3556.
Повний текст джерелаThe main objectives of this thesis were to develop a lowtemperature PECVD process suitable for optoelectronicintegration, and to optimize silica glass composition forUV-induced modifications of a refractive index in PECVDfabricated planar devices. The most important achievement isthe successful development of a low temperature silicadeposition, which for the first time makes it is possible tofabricate good quality low loss integrated components whilekeeping the temperature below 250oC during the entirefabrication process. Two strong absorption peaks thatappear at1.5 mm communication window due to N-H and Si-H bonds have beencompletely eliminated by process optimization. This openspossibilities for monolithic integration with other,temperature sensitive devices, such as semiconductor lasers anddetectors, or polymer-based structures on the common siliconplatform. PECVD technology for low loss amorphous silicon inapplication to SiO2/Si based photonic crystal structures hasbeen also optimized to remove hydrogen incorporated during thedeposition process, responsible for the porosity of thedeposited material and creation of similar to silica absorptionbands.
Change of the refractive index of germanium doped silicaunder UV irradiation is commonly used for fabrication of UVinduced fiber Bragg gratings. Here we describe our achievementsin fabrication of fiber Bragg gratings and their application todistributed sensor systems. Recently we have built up a laserlab for UV treatment in application to planar technology. Wehave demonstrated the high photosensitivity of PECVD depositedGe-doped glasses (not thermally annealed) even without hydrogenloading, leading to a record transmission suppression of 47dBin a Bragg grating photoinduced in a straight buried channelwaveguide. We have also used a UV induced refractive indexchange to introduce other device modifications or functions,such as phase shift, wavelength trimming and control ofpolarization birefringence.The developed low temperature technology and the UVprocessing form a unique technology platform for development ofnovel integrated functional devices for optical communicationsystems.
A substantial part of the thesis has been devoted tostudying different plasma deposition parameters and theirinfluence on the optical characteristics of fabricatedwaveguides to find the processing window giving the besttrade-off between the deposition rate,chamber temperatureduring the process, optical losses and presence of absorptionbands within the interesting wavelength range. The optimalconditions identified in this study are low pressure (300-400mTorr), high dilution of silane in nitrous oxide and high totalflow (2000 sccm), low frequency (380 KHz) RF source and high RFpower levels (800-1000 W).
The thesis provides better understanding of the plasmareactions during the deposition process. RF Power is the keyparameter for increasing the rate of surface processes so as toaccommodate each atomic layer in the lowest energy statepossible. All the process conditions which favor a moreenergetic ion bombardment (i.e. low pressure, low frequency andhigh power) improve the quality of the material, making it moredense and similar to thermal oxide, but after a certain pointthe positive trend with increasing power saturates. As theenergy of the incoming ion increases, a competing effect setsin at the surface: ion induced damage and resputtering.
Finally, the developed technologies were applied for thefabrication of some test and new concept devices for opticalcommunication systems including multimode interference (MMI)-based couplers/splitters, state-of-the-art arrayed waveguidegrating-based multi/ demultiplexers, the first Bragg gratingassisted MMI-based add-drop multiplexer, as well as moreresearch oriented devices such as a Mach-Zehnder switch basedon silica poling and a Photonic Crystal-based coupler.
Keywords:silica-on-silicon technology, PECVD, plasmadeposition, photonic integrated circuits, planar waveguidedevices, UV Bragg gratings, photosensitivity, arrayed waveguidegratings, multimode interference couplers, add-dropmultiplexers.