Добірка наукової літератури з теми "PAR-XPS"

Оформте джерело за APA, MLA, Chicago, Harvard та іншими стилями

Оберіть тип джерела:

Ознайомтеся зі списками актуальних статей, книг, дисертацій, тез та інших наукових джерел на тему "PAR-XPS".

Біля кожної праці в переліку літератури доступна кнопка «Додати до бібліографії». Скористайтеся нею – і ми автоматично оформимо бібліографічне посилання на обрану працю в потрібному вам стилі цитування: APA, MLA, «Гарвард», «Чикаго», «Ванкувер» тощо.

Також ви можете завантажити повний текст наукової публікації у форматі «.pdf» та прочитати онлайн анотацію до роботи, якщо відповідні параметри наявні в метаданих.

Статті в журналах з теми "PAR-XPS"

1

Seghrouchni, Z., A. Mosser, J. J. Grob, N. Broll, and A. Cornet. "Etude par DRX et XPS des couches d'aluminium nitrurées par implantation ionique." Le Journal de Physique IV 06, no. C4 (July 1996): C4–501—C4–508. http://dx.doi.org/10.1051/jp4:1996448.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
2

Daniyal, Wan Mohd Ebtisyam Mustaqim Mohd, Yap Wing Fen, Silvan Saleviter, Narong Chanlek, Hideki Nakajima, Jaafar Abdullah, and Nor Azah Yusof. "X-ray Photoelectron Spectroscopy Analysis of Chitosan–Graphene Oxide-Based Composite Thin Films for Potential Optical Sensing Applications." Polymers 13, no. 3 (February 2, 2021): 478. http://dx.doi.org/10.3390/polym13030478.

Повний текст джерела
Анотація:
In this study, X-ray photoelectron spectroscopy (XPS) was used to study chitosan–graphene oxide (chitosan–GO) incorporated with 4-(2-pyridylazo)resorcinol (PAR) and cadmium sulfide quantum dot (CdS QD) composite thin films for the potential optical sensing of cobalt ions (Co2+). From the XPS results, it was confirmed that carbon, oxygen, and nitrogen elements existed on the PAR–chitosan–GO thin film, while for CdS QD–chitosan–GO, the existence of carbon, oxygen, cadmium, nitrogen, and sulfur were confirmed. Further deconvolution of each element using the Gaussian–Lorentzian curve fitting program revealed the sub-peak component of each element and hence the corresponding functional group was identified. Next, investigation using surface plasmon resonance (SPR) optical sensor proved that both chitosan–GO-based thin films were able to detect Co2+ as low as 0.01 ppm for both composite thin films, while the PAR had the higher binding affinity. The interaction of the Co2+ with the thin films was characterized again using XPS to confirm the functional group involved during the reaction. The XPS results proved that primary amino in the PAR–chitosan–GO thin film contributed more important role for the reaction with Co2+, as in agreement with the SPR results.
Стилі APA, Harvard, Vancouver, ISO та ін.
3

Medeiros, I. S., M. C. Reis, E. C. Rangel, and N. C. Cruz. "Análise Elementar e da Molhabilidade de Filmes Finos de Hexametildisiloxano Depositados por Plasma em Materiais Restauradores Indiretos." Journal of Health Sciences 19, no. 5 (February 23, 2018): 48. http://dx.doi.org/10.17921/2447-8938.2017v19n5p48.

Повний текст джерела
Анотація:
Uma porcelana (VM9, VITA, Zahnfabrik) e dois compósitos, (Enamic Lava Ultimate <3M ESPE, Seefeld>), foram usados. Os filmes foram obtidos a partir de: PAr PO2 (polimerização a plasma com Argônio e Oxigênio, respectivamente) e PIDP (técnica hibrida de implantação iônica e polimerização a plasma). A morfologia foi estudada por microscopia eletrônica de varredura e a análise química por espectroscopia por energia dispersiva (EDS) e por espectroscopia de fotoelétrons excitados por raios-X (XPS). A molhabilidade e a rugosidade (Ra) foram determinadas através do método da gota séssil e perfilometria por contato, respectivamente. Os resultados foram analisados com ANOVA com o teste de Tukey (a<0,05). A análise morfológica demonstrou que os filmes finos revestiram os materiais uniformemente. Detectou-se a presença de estruturas granulares por toda a superfície, que variou em tamanho e quantidade para cada filme. EDS e XPS demonstraram a presença principal dos elementos químicos carbono, oxigênio e silício. Foram obtidos filmes hidrofóbicos (PAr e PO2) e hidrofílicos (PIDP) (p<0,001). Para Ra, houve diferença pontualmente na rugosidade de alguns filmes (p<0,001) e os valores mantiveram-se abaixo de 2µm. Conclui-se que a morfologia e composição química foi filme-dependente, houve produção de superfícies hidrofílica (PIDP) e hidrofóbicas (PAr e PO2) e rugosidade permaneceu inferior a 2μm.Palavras-chave: Gases em Plasma. Propriedades de Superfície. Materiais Dentários.
Стилі APA, Harvard, Vancouver, ISO та ін.
4

Saoudi, R., G. Hollinger, and A. Straboni. "Ordre local dans les oxynitrures de silicium étudié par spectroscopie de photoélectrons XPS." Journal de Physique III 4, no. 5 (May 1994): 881–97. http://dx.doi.org/10.1051/jp3:1994172.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
5

Fournier, G., M. A. Perrouin Verbe, and M. Thoulouzan. "Traitement de l’HBP par laser Greenlight XPS : choix du matériel endoscopique et aspects techniques." Progrès en Urologie 23, no. 13 (November 2013): 1140. http://dx.doi.org/10.1016/j.purol.2013.08.285.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
6

Greco, M., C. Guimon, M. Loudet, and G. Pfister-Guillouzo. "Étude par XPS et calculs ab initio de couches minces de nitrure de bore." Journal de Chimie Physique 91 (1994): 1711–27. http://dx.doi.org/10.1051/jcp/199491711.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
7

Thoulouzan, M., R. Huet, R. Mathieu, G. Berquet, K. Bensalah, J. Calves, P. Coeurdacier, et al. "Résultats fonctionnels après traitement de l’HBP par laser Greenlight XPS : étude prospective multicentrique (575 patients)." Progrès en Urologie 25, no. 13 (November 2015): 781. http://dx.doi.org/10.1016/j.purol.2015.08.134.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
8

Scheuer, A., J. Hommet, and J. P. Deville. "Etude XPS de surfaces de nylon 6 traitées par un plasma d'oxygène en post-décharge." Le Journal de Physique IV 06, no. C4 (July 1996): C4–451—C4–459. http://dx.doi.org/10.1051/jp4:1996441.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
9

Le Calvar, M., and M. Lenglet. "Etude, par spectroscopies optiques de reflexion dans l'ultraviolet, visible, proche infrarouge et infrarouge, par spectroscopie XPS de l'oxydation d'un alliage Ni-20Cr." Journal of Nuclear Materials 173, no. 1 (September 1990): 71–77. http://dx.doi.org/10.1016/0022-3115(90)90313-c.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
10

Thoulouzan, M., M. A. Perrouin-verbe, J. B. Coquet, F. Delage, G. Papin, B. Rousseau, A. Erauso, and G. Fournier. "Conséquences sexuelles du traitement de l’hyperplasie bénigne de prostate (HBP) par laser greenlight™ XPS (180w)." Progrès en Urologie 22, no. 13 (November 2012): 815–16. http://dx.doi.org/10.1016/j.purol.2012.08.174.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.

Дисертації з теми "PAR-XPS"

1

El, Kazzi Mario. "ETUDE PAR PHOTOEMISSION (XPS & XPD) D'HETEROSTRUCTURES D'OXYDES FONCTIONNELS EPITAXIES SUR SILICIUM." Phd thesis, Ecole Centrale de Lyon, 2007. http://tel.archives-ouvertes.fr/tel-00321458.

Повний текст джерела
Анотація:
Cette thèse se situe dans un des axes principaux de l'INL qui a pour objectif de développer des procédés de fabrication de films minces d'oxydes monocristallins, épitaxiés sur silicium. Ces oxydes pourraient remplacer les oxydes de grille amorphes de type SiOxNy ou HfSixOyNz et répondre au cahier des charges de la « Road Map » de l'ITRS dans les futures filières CMOS sub 22nm. L'intérêt de maîtriser l'épitaxie d'oxydes sur silicium va bien au-delà de l'application au CMOS. Un tel savoir faire serait une brique technologique essentielle pour pouvoir développer des filières d'intégration monolithique sur silicium.

Dans ce contexte, l'objectif principal de ma thèse a été de mener une étude approfondie des propriétés physicochimiques et structurales de couches fines d'oxydes élaborées par Epitaxie par Jets Moléculaires (EJM) sur substrat silicium ou oxyde, en utilisant la spectroscopie de photoélectrons (XPS) et la diffraction de photoélectrons (XPD).

Nous avons étudié dans un premier temps la relaxation de films minces de LaAlO3 et de BaTiO3 épitaxiés sur des substrats de SrTiO3(001). Nous avons montré qu'au-dessous d'une certaine épaisseur critique ces deux oxydes sont contraints de façon pseudomorphiques sur SrTiO3(001). De plus nous avons clairement mis en évidence une forte augmentation de la déformation ferroélectrique pour une couche contrainte de BaTiO3.

Dans un deuxième temps, nous avons aussi étudié la croissance de LaAlO3 sur Si(001). LaAlO3 est amorphe pour des températures de croissance en dessous de 500°C. Pour des températures supérieures il y a formation de silicates à l'interface qui empêche la cristallisation. Pour surmonter cette difficulté, des procédés d'ingénierie d'interface ont été développés pour limiter les réactions interfaciales et réussir la croissance épitaxiale. Ils sont basés sur l'utilisation de couches tampons interfaciales d'oxydes comme SrO, SrTiO3 et Al2O3.

Enfin, nous avons comparé les modes de croissance et la stabilité d'interface d'Al2O3 et de Gd2O3 épitaxiés sur Si(111) et Si(001). Les résultats prouvent que la croissance de ces deux oxydes sur Si(111) a une orientation suivant [111]. Par contre sur Si(001) le mécanisme de croissance est plus complexe avec des relations d'épitaxie et des orientations inhabituelles.
Стилі APA, Harvard, Vancouver, ISO та ін.
2

Kazzi, Mario Hollinger Guy. "Etude par photoemission (XPS & XPD) d'hétérostructures d'oxydes fonctionnels epitaxies sur silicium." Ecully : Ecole Centrale de Lyon, 2007. http://bibli.ec-lyon.fr/exl-doc/melkazzi.pdf.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
3

Kazzi, Mario El. "Etude par photoemission (XPS & XPD) d'hétérostructures d'oxydes fonctionnels epitaxies sur silicium." Ecully, Ecole centrale de Lyon, 2007. http://www.theses.fr/2007ECDL0028.

Повний текст джерела
Анотація:
Cette thèse se situe dans un des axes principaux de l’INL qui a pour objectif de développer des procédés de fabrication de films minces d’oxydes monocristallins, épitaxiés sur silicium. Ces oxydes pourraient remplacer les oxydes de grille amorphes de type SiOxNy ou HfSixOyNz et répondre au cahier des charges de la « Road Map » de l’ITRS dans les futures filières CMOS sub 22nm. L’intérêt de maîtriser l’épitaxie d’oxydes sur silicium va bien au-delà de l’application au CMOS. Un tel savoir faire serait une brique technologique essentielle pour pouvoir développer des filières d’intégration monolithique sur silicium. Dans ce contexte, l’objectif principal de ma thèse a été de mener une étude approfondie des propriétés physicochimiques et structurales de couches fines d’oxydes élaborées par Epitaxie par Jets Moléculaires (EJM) sur substrat silicium ou oxyde, en utilisant la spectroscopie de hotoélectrons (XPS) et la diffraction de photoélectrons (XPD). Nous avons étudié dans un premier temps la relaxation de films minces de LaAlO3 et de BaTiO3 épitaxiés sur des substrats de SrTiO3(001). Nous avons montré qu'au-dessous d’une certaine épaisseur critique ces deux oxydes sont contraints de façon pseudomorphiques sur SrTiO3(001). De plus nous avons clairement mis en évidence une forte augmentation de la déformation ferroélectrique pour une couche contrainte de BaTiO3. Dans un deuxième temps, nous avons aussi étudié la croissance de LaAlO3 sur Si(001). LaAlO3 est amorphe pour des températures de croissance en dessous de 500°C. Pour des températures supérieures il y a formation de silicates à l'interface qui empêche la cristallisation. Pour surmonter cette difficulté, des procédés d’ingénierie d’interface ont été développés pour limiter les réactions interfaciales et réussir la croissance épitaxiale. Ils sont basés sur l’utilisation de couches tampons interfaciales d’oxydes comme SrO, SrTiO3 et Al2O3. Enfin, nous avons comparé les modes de croissance et la stabilité d’interface d’Al2O3 et de Gd2O3 épitaxiés sur Si(111) et Si(001). Les résultats prouvent que la croissance de ces deux oxydes sur Si(111) a une orientation suivant [111]. Par contre sur Si(001) le mécanisme de croissance est plus complexe avec des relations d’épitaxie et des orientations inhabituelles
This thesis is on one of the main INL axes, the goal of which is to develop the growth procedures of thin oxide single crystal on silicon. These oxides are meant to replace the presently used amorphous gate oxide (SiOxNy and HfSixOyNz) by a high-κ oxide in future “sub 22nm” CMOS. Besides, the interest in controlling the growth of these oxides goes far beyond this oxide gate application. This know-how would be a technological breakthrough to develop monolithic integration on silicon. In this context, the main objective of my thesis has been to study the physico-chemical and structural properties of thin oxide layers grown by Molecular Beam Epitaxy (MBE) on silicon or oxide substrate. We have used X-ray photoelectron spectroscopy (XPS) and X-ray photoelectron diffraction (XPD). First, the stress relaxation of LaAlO3 and BaTiO3 grown on SrTiO3 (001) substrate has been investigated. We have proved that below a critical thickness this two oxides can be grown pseudomorphically and that beyond a plastic relaxation occurs. In addition, we have evidenced that the ferroelectric deformation is strongly enhanced in strained BaTiO3 thin films. Second, we have studied the LaAlO3 growth on Si(001). LaAlO3 is amorphous for growth temperature below 500°C. For higher temperature, the formation of silicates at the interface prevents the crystallization. Thus, an interface engineering strategy has been set up to avoid these interfacial reactions and to succeed an epitaxial growth,. Using SrO, SrTiO3 and Al2O3 as buffer Finally, a comparison of the growth mode and interface stability has been done between Al2O3 and Gd2O3 grown either on Si(111) or Si(001) substrates. Results show that this two oxides grow along the [111] direction on Si(111). However, on Si(001), the growth mechanism is more complex leading to unusual orientations and epitaxial relationships
Стилі APA, Harvard, Vancouver, ISO та ін.
4

Leroy, Stéphane. "Etude d'interfaces électrode/électrolyte dans les batteries Li-ionApproche par XPS et AFM." Pau, 2006. http://www.theses.fr/2006PAUU3033.

Повний текст джерела
Анотація:
Les accumulateurs lithium-ion sont aujourd'hui la principale source d'énergie de l'électronique portable (téléphones, ordinateurs,. . . ). Leur capacité (quantité d'électricité pouvant être fournie) est gouvernée par la structure électronique et cristallographique des matériaux d'électrode, alors que leur tenue en cyclage et leur durée de vie sont fortement liées à l'interface électrode/électrolyte. Dans ce travail de thèse, nous avons entrepris l'étude approfondie de couches interfaciales à l'aide de techniques d'analyse de surface adaptées permettant l'obtention d'informations chimiques et morphologiques : la spectroscopie photoélectronique à rayonnements X (XPS) et la microscopie à force atomique (AFM). Une part importante du travail a été consacrée à l'étude des couches formées au niveau du matériau d'électrode négative (graphite) d'une batterie lithium-ion commerciale : LiCoO2 // EC/DMC/DEC (2/2/1) ; LiPF6 (1mol. L-1) // graphite. Des approches originales d'analyse ont été mises en œuvre : 1) Etude approfondie de composés de référence ; différents produits de dégradation du solvant et du sel de l'électrolyte ont été caractérisés de façon approfondie par XPS. 2) Etude du processus de formation de la couche par le biais d'analyses systématiques XPS et AFM à différents états de charge par un suivi pas à pas. 3) L'influence de la nature de l'électrolyte a également été examinée. Nous avons ensuite abordé l'étude des couches interfaciales formées au niveau de l'électrode positive (LiCoO2) de la batterie Li-ion commerciale. Une extension du travail à d'autres matériaux prometteurs d'électrode négative (Cu6Sn5, CoSn2) a également été entreprise
The lithium-ion batteries are today the principal source of energy of portable electronics (telephones, computers. . . ). Their capacity (quantity of electricity being able to be provided) is controlled by the electronic and crystallographic structure materials of electrode, whereas their behaviour in cycling and their lifespan are strongly related to the interface électrode/électrolyte. In this work of thesis, we undertook the thorough study of interfacial layers using adapted techniques of analysis of surface allowing obtaining chemical and morphological information : the X-ray photoelectronic spectroscopy (XPS) and atomic force microscopy (AFM). A significant share of work was devoted to the study of the layers formed on the level of material of negative electrode (graphite) of a commercial lithium-ion battery : LiCoO2// EC/DMC/DEC (2/2/1); LiPF6 (1mol. L-1)// graphite. Original approaches of analysis were implemented : 1) Thorough study of reference's composed; various breakdown products of solvent and the salt of the electrolyte were characterized thoroughly by XPS. 2) Study of the process of formation of the layer by the means of systematic analyses XPS and AFM in various states of load by a follow-up step by step. 3) The influence of the electrolyte's nature was also examined. We then approached the study of the interfacial layers formed on the level of the positive electrode (LiCoO2) of the commercial battery Li-ion. An extension of work to other promising materials of negative electrode (Cu6Sn5, CoSn2) was also undertaken
Стилі APA, Harvard, Vancouver, ISO та ін.
5

Mahjoub, Mohamed Aymen. "Contributions aux études quantitatives par spectroscopies électroniques (EPES et XPS) : Applications aux surfaces nanostructurées." Thesis, Clermont-Ferrand 2, 2016. http://www.theses.fr/2016CLF22666/document.

Повний текст джерела
Анотація:
Ce travail porte sur le développement de nouvelles méthodes de caractérisation in-situ basées sur les spectroscopies électroniques XPS et MM-EPES associées à des calculs théoriques obtenus grâce à des simulations Monte-Carlo afin de réaliser des études quantitatives fines et précises. La première partie de ce travail, a été consacrée à l’analyse quantitative de signaux XPS et MM-EPES. Pour cela, dans un premier temps, la fonction de correction de l’analyseur hémisphérique (HSA) qui est une combinaison de l’aire d’analyse (A) et de la transmission (T) a été déterminée en utilisant une nouvelle méthode basée sur des images élastiques. Pour la première fois, la dépendance de A en énergie cinétique des électrons a été mise en évidence. Avec l’utilisation de cette nouvelle fonction, une méthode de caractérisation in situ basée sur la modélisation théorique des signaux XPS et MM-EPES a été développée. Cette méthode a permis d’étudier le dépôt d’un film d’or sur un substrat de silicium oxydé et a montré une grande précision dans le cas de très faibles quantités de matière déposée (< 2 nm) alors que les techniques microscopiques classiques se sont révélées inefficaces. La deuxième partie a porté sur le développement d’une nouvelle technique d’imagerie in-situ appelé MM-EPEM qui consiste à scanner la surface par un faisceau d’électrons et de collecter les électrons rétrodiffusés élastiquement afin de construire une image en intensité de la surface. Les étapes d’obtention des images MM-EPEM et les procédures d’exploitation de ces dernières ont été décrites et optimisées. Ensuite, cette technique a été utilisée pour l’étude de l’état de surface de dépôts d’or sur différents substrats. Cette technique s’avère être non destructive et très sensible aux éléments présents à la surface. Et elle permet de déterminer la cartographie chimique et la nano-organisation de la surface
This thesis focuses on the development of new in-situ methods of characterization based on the electron spectroscopies (XPS and EPES) coupled with theoretical calculations obtained through Monte-Carlo simulations in order to perform very precise quantitative studies. The first part of this thesis was devoted to quantitative studies of XPS and MM-EPES measurements. Firstly, the correction function of a hemispherical analyzer (HSA) which is a combination of the analysis area (A) and the transmission (T) was determined using a new method based on the elastic images. For the first time, the dependence of A on the kinetic energy of electrons was highlighted. Using this function, an in-situ method based on the combination of XPS and MM-EPES modeling was setting up. This method was used to determine the organization of gold film deposed on oxidized silicon substrate. Measurements show that this method is able to determine surface parameters when the microscopy techniques do not give any information in the case of a small quantity of gold deposit (less than 2 nm). The second part of this work was directed towards developing a new generation of microscopy called MM-EPEM which is based on the detection of elastic electrons. The stages required to obtain these images are well described and optimized here. The MM-EPEM images processing was used to study gold growth on different substrates. This technique is a non-destructive method and allows the operator to construct chemical tomography and to determine the nano-organization of the surface
Стилі APA, Harvard, Vancouver, ISO та ін.
6

Hieulle, Jeremy. "Structures et propriétés électroniques de monocouches organiques auto-assemblées, caractérisée par STM et XPS." Palaiseau, Ecole polytechnique, 2014. http://www.theses.fr/2014EPXX0070.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
7

Zouiten, Abdelaziz. "Caractérisation de surface des zéolithes et analyse de leurs propriétés acides : étude par XPS." Pau, 1995. http://www.theses.fr/1995PAUU3005.

Повний текст джерела
Анотація:
Les zéolithes ou tamis moléculaires (alumino-silicates cristallins micro-poreux), comme tout catalyseur hétérogène, présentent souvent des compositions et des propriétés de surface différentes de celles du volume. Leur caractérisation requiert des méthodes spécifiques d'analyse de surface. Une des plus utilisées et potentiellement des plus efficaces est la spectroscopie photoélectronique à rayonnement X (XPS ou ESCA). Dans ce mémoire nous répertorions les divers modes d'utilisation de cette spectroscopie, ses apports et ses limitations. Utilisée seule, elle permet la détermination quantitative de la composition chimique de la surface des matériaux. Ainsi peuvent tre vérifiées l'intégrité de la surface, l'efficacité des traitements (déalumination, échange d'ions,), la présence d'entités étrangères au réseau cristallin, etc. . Ce type d'étude est illustré par l'analyse de cristaux représentatifs de plusieurs grandes familles de zéolithes. Couplée avec des expériences de chimisorption et de thermo-désorption de gaz réactifs (Nh3 par exemple), elle offre une description détaillée de la réactivité de surface des solides. Cette démarche est appliquée à l'analyse de l'acidité de surface de faujasites Hy et de mazzites et complétée par une étude théorique menée à l'aide d'une méthode semi-empirique (AM1) et d'une méthode ab-initio.
Стилі APA, Harvard, Vancouver, ISO та ін.
8

Vittoz, Christelle. "Étude de l'acido-basicité des surfaces par mouillabilité et XPS : application aux aciers inoxydables." Grenoble INPG, 1997. http://www.theses.fr/1997INPG0042.

Повний текст джерела
Анотація:
Les aciers inoxydables sont des materiaux caracterises en surface par une couche passive, protectrice vis-a-vis de la corrosion, dont la composition chimique et la structure varie en fonction de la composition de l'alliage et de l'histoire de l'echantillon (nature du recuit, du vieillissement, du traitement de surface). Dans de nombreux domaines d'applications, la connaissance des proprietes d'adhesion de ces surfaces est necessaire. L'objet de cette etude consiste de determiner en particulier les caracteristiques acido-basiques de ces surfaces. Pour cela, nous avons utilise une methode originale basee sur la methode de la goutte posee dont le principe consiste a analyser l'evolution des angles de contact en fonction du ph de la solution aqueuse. A partir des proprietes des oxydes en presence d'une solution aqueuse, on a pu demontrer que cette evolution permet d'obtenir le point de charge nulle (pcn) et la charge maximale de la surface etudiee, ces parametres etant directement relies aux proprietes acido-basiques des surfaces au sens de brnsted. Dans un premier temps, l'application de cette methode pour la caracterisation des proprietes acido-basiques de surfaces modeles (silice, alumine) a permis de valider le principe et l'approche theorique proposee. Ensuite, des surfaces d'aciers inoxydables (aisi 304, fecrsi) ayant subi differents traitements ont ete ainsi caracterisees. Une etroite correlation a ete mis en evidence entre le comportement acido-basique et la composition chimique de la surface determinee par les spectroscopie d'electrons (xps, aes). Au regard de ces premiers resultats, il semble que les proprietes acido-basiques des aciers inoxydables peuvent etre modifier en modifiant la chimie des films passifs.
Стилі APA, Harvard, Vancouver, ISO та ін.
9

Alami, Mohammed. "Métallisation des polymères par le procédé "electroless" : étude XPS des étapes préalables d'activation des surfaces." Lyon 1, 1994. http://www.theses.fr/1994LYO10300.

Повний текст джерела
Анотація:
Le present travail porte sur la metallisation (nickelage chimique) des polymeres par le procede electroless dit encore autocatalytique et plus particulierement sur les traitements de surface prealables a cette metallisation. Cette etude, realisee dans un premier temps sur le polycarbonate, envisage successivement le traitement de surface du polymere par voie chimique et par plasma de gaz reactifs, puis le traitement mis en uvre pour rendre la surface catalytique vis-a-vis de la reaction de reduction des ions ni#2#+. Ce dernier traitement a d'abord ete realise par le processus classique a deux etapes: sensibilisation par sncl#2 puis activation par pdcl#2. Chacune des etapes precedentes a ete etudiee par xps. Les analyses correspondantes ont permis de mettre en evidence les modifications de surface du polymere a la suite des differents traitements par plasma et d'interpreter les mecanismes reactionnels mis en jeu lors de la chimisorption des ions sn#2#+ puis pd#2#+. Elles ont montre que les ions pd#2#+ etaient capables de s'adsorber directement lors d'un simple traitement par pdcl#2 sur une surface porteuse de groupements fonctionnels azotes apportes par un traitement plasma n#2 ou nh#3. Cette caracteristique a ete mise en evidence par analyse xps sur des polymeres naturellement porteurs de fonctions azotees (polyamide-polyacrylonitrile). Cette etude, essentiellement basee sur des analyses de surface par xps, a permis de mettre au point un protocole operatoire simplifie a une seule etape pour adsorber du palladium sur la surface des polymeres. Ce protocole, qui permet de s'affranchir des solutions de sncl#2 facilement oxydables, presente un interet certain pour la mise en uvre de la metallisation electroless
Стилі APA, Harvard, Vancouver, ISO та ін.
10

Chanson, Romain. "Gravure de l’InP par plasma ICP chloré et HBr/Ar : modélisation multiéchelle et analyse XPS." Nantes, 2012. http://archive.bu.univ-nantes.fr/pollux/show.action?id=2b207546-39e2-4c12-871a-17575da77fd5.

Повний текст джерела
Анотація:
Dans le cadre de l’ANR Blanc INCLINE (Inductively Coupled Plasmas for CMOS compatible etchINg of high performance III-V integrated laser sourceEs), nous avons développé un simulateur de gravure de l’InP par plasmas ICP Cl2/Ar/N2 et HBr/Ar. Ce simulateur est basé sur une approche multiéchelle composée de trois modules, un modèle de plasma, un modèle de gaine et un modèle de gravure. Le modèle de plama permet de déterminer les densités et les flux d’espèces neutres et chargées ainsi que la température électronique en fonction des paramètres machine. La densité et la température électronique sont ensuite injectées dans le modèle de gaine. Ce dernier est basé sur une approche cellulaire Monte-Carlo, permettant d’étudier le transport des ions traversant la gaine. Les fonctions de distributions générées par le modèle de gaine sont les données d’entrée du modèle de gravure. Ce dernier est basé sur une approche cellulaireMonte-Carlo, permettant de suivre l’évolution temporelle des profils de gravure à travers le masque. L’un des résultats de simulation a mis en évidence le rôle de la désorption chimique lors de la formation du bowing. D’autre part, un mécanisme de passivation des flancs par l’azote a été proposé. Une étude XPS d’échantillons gravés par plasma Cl2 ou Cl2/H2, nous a permis d’observer le rôle important de la température de pendant la gravure. Les effets d’autres paramètres comme l’influence chimique des espèces Cl2 et H2 ou la tension d’accélération ont aussi été décrits. Deplus, nous montrons la présence de phosphore élémentaire à la surface des échantillons gravés en plein champ. Enfin, des motifs rubans ont été analysés. La première expérience montre que la surface des flancs est plus riche en phosphore que la surface du fond des motifs, quelle que soit la chimie de gravure (Cl2 ou Cl2/H2)
Стилі APA, Harvard, Vancouver, ISO та ін.
Ми пропонуємо знижки на всі преміум-плани для авторів, чиї праці увійшли до тематичних добірок літератури. Зв'яжіться з нами, щоб отримати унікальний промокод!

До бібліографії