Дисертації з теми "Memory optimisation"

Щоб переглянути інші типи публікацій з цієї теми, перейдіть за посиланням: Memory optimisation.

Оформте джерело за APA, MLA, Chicago, Harvard та іншими стилями

Оберіть тип джерела:

Ознайомтеся з топ-50 дисертацій для дослідження на тему "Memory optimisation".

Біля кожної праці в переліку літератури доступна кнопка «Додати до бібліографії». Скористайтеся нею – і ми автоматично оформимо бібліографічне посилання на обрану працю в потрібному вам стилі цитування: APA, MLA, «Гарвард», «Чикаго», «Ванкувер» тощо.

Також ви можете завантажити повний текст наукової публікації у форматі «.pdf» та прочитати онлайн анотацію до роботи, якщо відповідні параметри наявні в метаданих.

Переглядайте дисертації для різних дисциплін та оформлюйте правильно вашу бібліографію.

1

Forrest, B. M. "Memory and optimisation in neural network models." Thesis, University of Edinburgh, 1988. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.384164.

Повний текст джерела
Анотація:
A numerical study of two classes of neural network models is presented. The performance of Ising spin neural networks as content-addressable memories for the storage of bit patterns is analysed. By studying systems of increasing sizes, behaviour consistent with fintite-size scaling, characteristic of a first-order phase transition, is shown to be exhibited by the basins of attraction of the stored patterns in the Hopfield model. A local iterative learning algorithm is then developed for these models which is shown to achieve perfect storage of nominated patterns with near-optimal content-addressability. Similar scaling behaviour of the associated basins of attraction is observed. For both this learning algorithm and the Hopfield model, by extrapolating to the thermodynamic limit, estimates are obtained for the critical minimum overlap which an input pattern must have with a stored pattern in order to successfully retrieve it. The role of a neural network as a tool for optimising cost functions of binary valued variables is also studied. The particular application considered is that of restoring binary images which have become corrupted by noise. Image restorations are achieved by representing the array of pixel intensities as a network of analogue neurons. The performance of the network is shown to compare favourably with two other deterministic methods-a gradient descent on the same cost function and a majority-rule scheme-both in terms of restoring images and in terms of minimising the cost function. All of the computationally intensive simulations exploit the inherent parallelism in the models: both SIMD (the ICL DAP) and MIMD (the Meiko Computing Surface) machines are used.
Стилі APA, Harvard, Vancouver, ISO та ін.
2

Fargus, Alexander. "Optimisation of correlation matrix memory prognostic and diagnostic systems." Thesis, University of York, 2015. http://etheses.whiterose.ac.uk/9032/.

Повний текст джерела
Анотація:
Condition monitoring systems for prognostics and diagnostics can enable large and complex systems to be operated more safely, at a lower cost and have a longer lifetime than is possible without them. AURA Alert is a condition monitoring system that uses a fast approximate k-Nearest Neighbour (kNN) search of a timeseries database containing known system states to identify anomalous system behaviour. This search algorithm, AURA kNN, uses a type of binary associative neural network called a Correlation Matrix Memory (CMM) to facilitate the search of the historical database. AURA kNN is evaluated with respect to the state of the art Locality Sensitive Hashing (LSH) approximate kNN algorithm and shown to be orders of magnitude slower to search large historical databases. As a result, it is determined that the standard AURA kNN scales poorly for large historical databases. A novel method for generating CMM input tokens called Weighted Overlap Code Construction is presented and combined with Baum Coded output tokens to reduce the query time of the CMM. These modifications are shown to improve the ability of AURA kNN to scale with large databases, but this comes at the cost of accuracy. In the best case an AURA kNN search is 3.1 times faster than LSH with an accuracy penalty of 4% on databases with 1000 features and fewer than 100,000 samples. However the modified AURA kNN is still slower than LSH with databases with fewer features or more samples. These results suggest that it may be possible for AURA kNN to be improved so that it is competitive with the state of the art LSH algorithm.
Стилі APA, Harvard, Vancouver, ISO та ін.
3

Uzor, Chigozirim. "Compact dynamic optimisation algorithm." Thesis, De Montfort University, 2015. http://hdl.handle.net/2086/13056.

Повний текст джерела
Анотація:
In recent years, the field of evolutionary dynamic optimisation has seen significant increase in scientific developments and contributions. This is as a result of its relevance in solving academic and real-world problems. Several techniques such as hyper-mutation, hyper-learning, hyper-selection, change detection and many more have been developed specifically for solving dynamic optimisation problems. However, the complex structure of algorithms employing these techniques make them unsuitable for real-world, real-time dynamic optimisation problem using embedded systems with limited memory. The work presented in this thesis focuses on a compact approach as an alternative to population based optimisation algorithm, suitable for solving real-time dynamic optimisation problems. Specifically, a novel compact dynamic optimisation algorithm suitable for embedded systems with limited memory is presented. Three novel dynamic approaches that augment and enhance the evolving properties of the compact genetic algorithm in dynamic environments are introduced. These are 1.) change detection scheme that measures the degree of dynamic change 2.) mutation schemes whereby the mutation rates is directly linked to the detected degree of change and 3.) change trend scheme the monitors change pattern exhibited by the system. The novel compact dynamic optimization algorithm outlined was applied to two differing dynamic optimization problems. This work evaluates the algorithm in the context of tuning a controller for a physical target system in a dynamic environment and solving a dynamic optimization problem using an artificial dynamic environment generator. The novel compact dynamic optimisation algorithm was compared to some existing dynamic optimisation techniques. Through a series of experiments, it was shown that maintaining diversity at a population level is more efficient than diversity at an individual level. Among the five variants of the novel compact dynamic optimization algorithm, the third variant showed the best performance in terms of response to dynamic changes and solution quality. Furthermore, it was demonstrated that information transfer based on dynamic change patterns can effectively minimize the exploration/exploitation dilemma in a dynamic environment.
Стилі APA, Harvard, Vancouver, ISO та ін.
4

Beyler, Jean-Christophe. "Dynamic software memory access optimization : Dynamic low-cost reduction of memory latencies by binary analyses and transformations." Université Louis Pasteur (Strasbourg) (1971-2008), 2007. http://www.theses.fr/2007STR13171.

Повний текст джерела
Анотація:
Cette thèse se place dans le cadre du développement d'approches dynamiques permettant une maîtrise du comportement du couple logiciel/matériel en cours d'exécution. Plus particulièrement, les travaux présentés ici recouvrent l'objectif principal de minimisation des temps d'exécution sur une architecture mono ou multi-processeurs, par anticipation des accès mémoire des programmes via le préchargement des données utiles, et ce de manière entièrement transparente à l'utilisateur. Nous montrons qu'il est possible de concevoir un tel système dynamique d'une relative complexité et entièrement logiciel, c'est-à-dire qui ne repose sur aucune fonctionnalité spécifique de la machine d'exécution, qui est efficace pour de nombreux programmes et très peu pénalisant pour les autres. A notre connaissance, notre travail constitue une première proposition d'un système dynamique d'optimisation entièrement logiciel qui ne se base pas sur une interprétation du code binaire
This thesis concerns the development of dynamic approaches for the control of the hardware/software couple. More precisely, works presented here have the main goal of minimizing program execution times on mono or multi-processor architectures, by anticipating memory accesses through dynamic prefetch of useful data in cache memory and in a way that is entirely transparent to the user. The developed systems consist in a dynamic analysis phase, where memory access latencies are measured, a phase of binary optimizing transformations when they have been evaluated as efficient, and where data prefetching instructions are inserted into the binary code, a dynamic analysis phase of the optimizations efficiency, and finally a canceling phase for transformations that have been evaluated as inefficient. Every phase applies individually to every memory access, and eventually applies several times if memory accesses have behaviors that are varying during the execution time of the target software
Стилі APA, Harvard, Vancouver, ISO та ін.
5

Maalej, Kammoun Maroua. "Low-cost memory analyses for efficient compilers." Thesis, Lyon, 2017. http://www.theses.fr/2017LYSE1167/document.

Повний текст джерела
Анотація:
La rapidité, la consommation énergétique et l'efficacité des systèmes logiciels et matériels sont devenues les préoccupations majeures de la communauté informatique de nos jours. Gérer de manière correcte et efficace les problématiques mémoire est essentiel pour le développement des programmes de grande tailles sur des architectures de plus en plus complexes. Dans ce contexte, cette thèse contribue aux domaines de l'analyse mémoire et de la compilation tant sur les aspects théoriques que sur les aspects pratiques et expérimentaux. Outre l'étude approfondie de l'état de l'art des analyses mémoire et des différentes limitations qu'elles montrent, notre contribution réside dans la conception et l'évaluation de nouvelles analyses qui remédient au manque de précision des techniques publiées et implémentées. Nous nous sommes principalement attachés à améliorer l'analyse de pointeurs appartenant à une même structure de données, afin de lever une des limitations majeures des compilateurs actuels. Nous développons nos analyses dans le cadre général de l'interprétation abstraite « non dense ». Ce choix est motivé par les aspects de correction et d'efficacité : deux critères requis pour une intégration facile dans un compilateur. La première analyse que nous concevons est basée sur l'analyse d'intervalles des variables entières ; elle utilise le fait que deux pointeurs définis à l'aide d'un même pointeur de base n'aliasent pas si les valeurs possibles des décalages sont disjointes. La seconde analyse que nous développons est inspirée du domaine abstrait des Pentagones ; elle génère des relations d'ordre strict entre des paires de pointeurs comparables. Enfin, nous combinons et enrichissons les deux analyses précédentes dans un cadre plus général. Ces analyses ont été implémentées dans le compilateur LLVM. Nous expérimentons et évaluons leurs performances, et les comparons aux implémentations disponibles selon deux métriques : le nombre de paires de pointeurs pour lesquelles nous inférons le non-aliasing et les optimisations rendues possibles par nos analyses
This thesis was motivated by the emergence of massively parallel processing and supercomputingthat tend to make computer programming extremely performing. Speedup, the power consump-tion, and the efficiency of both software and hardware are nowadays the main concerns of theinformation systems community. Handling memory in a correct and efficient way is a step towardless complex and more performing programs and architectures. This thesis falls into this contextand contributes to memory analysis and compilation fields in both theoretical and experimentalaspects.Besides the deep study of the current state-of-the-art of memory analyses and their limitations,our theoretical results stand in designing new algorithms to recover part of the imprecisionthat published techniques still show. Among the present limitations, we focus our research onthe pointer arithmetic to disambiguate pointers within the same data structure. We develop ouranalyses in the abstract interpretation framework. The key idea behind this choice is correctness,and scalability: two requisite criteria for analyses to be embedded to the compiler construction.The first alias analysis we design is based on the range lattice of integer variables. Given a pair ofpointers defined from a common base pointer, they are disjoint if their offsets cannot have valuesthat intersect at runtime. The second pointer analysis we develop is inspired from the Pentagonabstract domain. We conclude that two pointers do not alias whenever we are able to build astrict relation between them, valid at program points where the two variables are simultaneouslyalive. In a third algorithm we design, we combine both the first and second analysis, and enhancethem with a coarse grained but efficient analysis to deal with non related pointers.We implement these analyses on top of the LLVM compiler. We experiment and evaluate theirperformance based on two metrics: the number of disambiguated pairs of pointers compared tocommon analyses of the compiler, and the optimizations further enabled thanks to the extraprecision they introduce
Стилі APA, Harvard, Vancouver, ISO та ін.
6

Munns, Joseph. "Optimisation and applications of a Raman quantum memory for temporal modes of light." Thesis, Imperial College London, 2018. http://hdl.handle.net/10044/1/63867.

Повний текст джерела
Анотація:
Within any practical proposal for utilising quantum mechanics for information processing whether for the efficient computation of problems intractable by classical methods, or for secure communication; at some stage one must have the means to transfer quantum information between remote nodes of a network. For this, light is the obvious choice. To realise this vision one requires the means to overcome the ''scaling problem'' intrinsic to many photonic-based quantum technologies due to probabilistic operations. It has been identified that optical quantum memories which facilitate the storage and retrieval of quantum states of light are an enabling technology. Another requisite technology to ensure the scalability of a quantum network is the means to interface dissimilar material nodes, which in practice means the translation of quantum light in bandwidth, frequency and temporal shape. The first part of this thesis presents experimental, theoretical and numerical investigations of noise reduction in the Raman memory protocol in thermal caesium vapour, by means of a cavity. To do this, I develop a theoretical description of the cavity memory interaction, along with a model of the atom-cavity system to enable meeting the required resonance conditions. This is followed by a proof-of-concept experimental demonstration, showing suppression of noise in the retrieved state. To conclude this part, I investigate the optimisation of this system and provide a numerical framework for its design, and propose a route towards realising the Raman memory as a practical quantum memory. The second theme is an exploration of the practical application of the Raman memory as an interface for temporal modes of light. I perform a preliminary investigation, and develop characterisation tools, to experimentally verify the modal structure of the memory interaction. This work provides the basis for deploying the Raman memory as a temporal-mode selective device for GHz bandwidth quantum states of light.
Стилі APA, Harvard, Vancouver, ISO та ін.
7

Alsaiari, Mabkhoot Abdullah. "High throughput optimisation of functional nanomaterials and composite structures for resistive switching memory." Thesis, University of Southampton, 2018. https://eprints.soton.ac.uk/422863/.

Повний текст джерела
Анотація:
The Semiconductor industry is investigating high speed, low power consumption, high-density memory devices that can retain their information without power supply. Resistive Random Access Memory (ReRAM) is one of the most attractive candidates as an alternative to conventional flash memory devices due to of its simple metal-insulator-metal (MIM) structures. A compositional gradient of thin film materials produced by the simultaneous combination of elements provides a powerful tool for the combinatorial synthesis of materials. It was applied here to control the composition, structure and morphology of materials in composite devices of ReRAM. This allows the systematic high throughput screening of the intrinsic properties of the materials, as well as the high throughput optimisations of composite thin films that mimic memory device structures. Therefore, the focus of this project is to develop a novel capacitor for ReRAM application. We present here details of the preparation technique and the screening methodologies of this approach by applying the synthesis to various phases of titania, for which there is an extensive literature, as a prelude to the screening of more complex systems. Inert Pt electrodes and active Cu electrodes were deposited on TiO2 as top electrodes using different mask sizes (50 micron and 250 micron). The bottom electrode is Si/ SiO2/ TiO2/ Pt (SSTOP) was constant throughout this project. TiO2 was prepared using evaporative physical vapour deposition (PVD) with a variation of thickness between 10 nm and 300 nm on SSTOP. The synthetic conditions were chosen to produce TiO2 oxygen stoichiometric and sub-stoichiometric amorphous, anatase and rutile materials. The oxides have been fully characterised by X-Ray Diffraction (XRD), X-ray Photo electron Spectroscopy (XPS), Raman Spectroscopy, Four Point Probe (4pp) and Atomic Force Microscopy (AFM). The electrical screening was carried out on capacitor-like structures produced using 250 micron diameter top electrodes deposited using a 14 x 14 array contact mask. Current-Voltage (I-V) measurements were conducted employing a variety of current compliances (IC). The typical I-V switching of the unipolar mode (both state in one polarity) was achieved on all titania phases, whereas the bipolar mode (each state in different polarity) was achieved only on the amorphous phase. The resistance differences between High Resistance State (HRS) and Low Resistance State (LRS) were clearly identified in each system. It was observed that for all the devices investigated, a lower forming field was required on the thicker layer of the active switching layers. Devices with copper electrodes, and composite devices with sub-stoichiometric titania adjacent to the stoichiometric titania could be formed at lower voltages and electric fields. The results obtained here confirm the feasibility of the high-throughput approach to optimise functional nanomaterials and composite device structures for resistive switching memory application.
Стилі APA, Harvard, Vancouver, ISO та ін.
8

Marina, Sahakyan. "Optimisation des mises à jours XML pour les systèmes main-memory: implémentation et expériences." Phd thesis, Université Paris Sud - Paris XI, 2011. http://tel.archives-ouvertes.fr/tel-00641579.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
9

Kaeslin, Alain E. "Performance Optimisation of Discrete-Event Simulation Software on Multi-Core Computers." Thesis, KTH, Skolan för datavetenskap och kommunikation (CSC), 2016. http://urn.kb.se/resolve?urn=urn:nbn:se:kth:diva-191132.

Повний текст джерела
Анотація:
SIMLOX is a discrete-event simulation software developed by Systecon AB for analysing logistic support solution scenarios. To cope with ever larger problems, SIMLOX's simulation engine was recently enhanced with a parallel execution mechanism in order to take advantage of multi-core processors. However, this extension did not result in the desired reduction in runtime for all simulation scenarios even though the parallelisation strategy applied had promised linear speedup. Therefore, an in-depth analysis of the limiting scalability bottlenecks became necessary and has been carried out in this project. Through the use of a low-overhead profiler and microarchitecture analysis, the root causes were identified: atomic operations causing a high communication overhead, poor locality leading to translation lookaside buffer thrashing, and hot spots that consume significant amounts of CPU time. Subsequently, appropriate optimisations to overcome the limiting factors were implemented: eliminating the expensive operations, more efficient handling of heap memory through the use of a scalable memory allocator, and data structures that make better use of caches. Experimental evaluation using real world test cases demonstrated a speedup of at least 6.75x on an eight-core processor. Most cases even achieve a speedup of more than 7.2x. The various optimisations implemented further helped to lower run times for sequential execution by 1.5x or more. It can be concluded that achieving nearly linear speedup on a multi-core processor is possible in practice for discrete-event simulation.
SIMLOX är en kommersiell mjukvara utvecklad av Systecon AB, vars huvudsakliga funktion är en händelsestyrd simuleringskärna för analys av underhållslösningar för komplexa tekniska system. För hantering av stora problem så används parallellexekvering för simuleringen, vilket i teorin borde ge en nästan linjär skalning med antal trådar. Prestandaförbättringen som observerats i praktiken var dock ytterst begränsad, varför en ordentlig analys av skalbarheten har gjorts i detta projekt. Genom användandet av ett profileringsverktyg med liten overhead och mikroarkitektur-analys, så kunde orsakerna hittas: atomiska operationer som skapar mycket overhead för kommunikation, dålig lokalitet ger fragmentering vid översättning till fysiska adresser och dåligt utnyttjande av TLB-cachen, och vissa flaskhalsar som kräver mycket CPU-kraft. Därefter implementerades och testade optimeringar för att undvika de identifierade problem. Testade lösningar inkluderar eliminering av dyra operationer, ökad effektivitet i minneshantering genom skalbara minneshanteringsalgoritmer och implementation av datastrukturer som ger bättre lokalitet och därmed bättre användande av cache-strukturen. Verifiering på verkliga testfall visade på uppsnabbningar på åtminstone 6.75 gånger på en processor med 8 kärnor. De flesta fall visade på en uppsnabbning med en faktor större än 7.2. Optimeringarna gav även en uppsnabbning med en faktor på åtminstone 1.5 vid sekventiell exekvering i en tråd. Slutsatsen är därmed att det är möjligt att uppnå nästan linjär skalning med antalet kärnor för denna typ av händelsestyrd simulering.
Стилі APA, Harvard, Vancouver, ISO та ін.
10

Laga, Arezki. "Optimisation des performance des logiciels de traitement de données sur les périphériques de stockage SSD." Thesis, Brest, 2018. http://www.theses.fr/2018BRES0087/document.

Повний текст джерела
Анотація:
Nous assistons aujourd’hui à une croissance vertigineuse des volumes de données. Cela exerce une pression sur les infrastructures de stockage et les logiciels de traitement de données comme les Systèmes de Gestion de Base de Données (SGBD). De nouvelles technologies ont vu le jour et permettent de réduire la pression exercée par les grandes masses de données. Nous nous intéressons particulièrement aux nouvelles technologies de mémoires secondaires comme les supports de stockage SSD (Solid State Drive) à base de mémoire Flash. Les supports de stockage SSD offrent des performances jusqu’à 10 fois plus élevées que les supports de stockage magnétiques. Cependant, ces nouveaux supports de stockage offrent un nouveau modèle de performance. Cela implique l’optimisation des coûts d’E/S pour les algorithmes de traitement et de gestion des données. Dans cette thèse, nous proposons un modèle des coûts d’E/S sur SSD pour les algorithmes de traitement de données. Ce modèle considère principalement le volume des données, l’espace mémoire alloué et la distribution des données. Nous proposons également un nouvel algorithme de tri en mémoire secondaire : MONTRES. Ce dernier est optimisé pour réduire le coût des E/S lorsque le volume de données à trier fait plusieurs fois la taille de la mémoire principale. Nous proposons enfin un mécanisme de pré-chargement de données : Lynx. Ce dernier utilise un mécanisme d’apprentissage pour prédire et anticiper les prochaines lectures en mémoire secondaire
The growing volume of data poses a real challenge to data processing software like DBMS (DataBase Management Systems) and data storage infrastructure. New technologies have emerged in order to face the data volume challenges. We considered in this thesis the emerging new external memories like flash memory-based storage devices named SSD (Solid State Drive).SSD storage devices offer a performance gain compared to the traditional magnetic devices.However, SSD devices offer a new performance model that involves 10 cost optimization for data processing and management algorithms.We proposed in this thesis an 10 cost model to evaluate the data processing algorithms. This model considers mainly the SSD 10 performance and the data distribution.We also proposed a new external sorting algorithm: MONTRES. This algorithm includes optimizations to reduce the 10 cost when the volume of data is greater than the allocated memory space by an order of magnitude. We proposed finally a data prefetching mechanism: Lynx. This one makes use of a machine learning technique to predict and to anticipate future access to the external memory
Стилі APA, Harvard, Vancouver, ISO та ін.
11

Chekaf, Mustapha. "Capacité de la mémoire de travail et son optimisation par la compression de l'information." Thesis, Bourgogne Franche-Comté, 2017. http://www.theses.fr/2017UBFCC010/document.

Повний текст джерела
Анотація:
Les tâches d’empan simples sont classiquement utilisées pour évaluer la mémoire à court terme, tandis que les tâches d’empan complexes sont généralement considérées comme spécifiques de la mémoire de travail. Par construction, les tâches d’empan complexes étant plus difficiles,en raison de l’utilisation d’une tâche concurrente, l’empan moyen est généralement plus faible (4 ± 1 items) que dans les tâches d’empan simples (7 ± 2 items). Une raison possible de cet écart est que sans tâche concurrente, les participants peuvent tirer profit du temps libre entre les stimuli pour détecter et recoder des régularités présentes dans la série de stimuli afin de regrouper les stimuli en 4 ± 1 chunks. Notre hypothèse principale est que la compression de l’information en mémoire immédiate est un indicateur pertinent pour étudier la relation entre la capacité de mémoire immédiate et l’intelligence fluide. L’idée est que les deux dépendent de la qualité du traitement de l’information, autrement dit, selon nos hypothèses, d’une interaction entre traitement et stockage. Nous avons développé plusieurs tâches de mesure d’empan de chunks dans lesquelles la compressibilité de l’information a été estimée en utilisant différentes mesures de complexité algorithmique. Les résultats ont montré que la compressibilité peut être utilisée pour prédire la performance en mémoire de travail, et que la capacité à compresser l’information est un bon prédicteur de l’intelligence fluide. Nous concluons que la capacité à compresser l’information en mémoire de travail est la raison pour laquelle à la fois traitement et stockage de l’information sont liés à l’intelligence
Simple span tasks are tasks commonly used to measure short-term memory, while complex span tasks are usually considered typical measures of working memory. Because complex span tasks were designed to create a concurrent task, the average span is usually lower (4 ± 1items) than in simple span tasks (7±2 items). One possible reason for measuring higher spansduring simple span tasks is that participants can take profit of the spare time between the stimuli to detect, and recode regularities in the stimulus series (in the absence of a concurrent task), and such regularities can be used to pack a few stimuli into 4 ± 1 chunks. Our main hypothesis was that information compression in immediate memory is an excellent indicator for studying the relationship between immediate-memory capacity and fluid intelligence. The idea is that both depend on the efficiency of information processing, and more precisely, on the interaction between storage and processing. We developed various span tasks measuringa chunking capacity, in which compressibility of memoranda was estimated using different algorithmic complexity metrics. The results showed that compressibility can be used to predictworking-memory performance, and that fluid intelligence is well predicted by the ability to compress information.We conclude that the ability to compress information in working memoryis the reason why both manipulation and retention of information are linked to intelligence
Стилі APA, Harvard, Vancouver, ISO та ін.
12

Brunie, Hugo. "Optimisation des allocations de données pour des applications du Calcul Haute Performance sur une architecture à mémoires hétérogènes." Thesis, Bordeaux, 2019. http://www.theses.fr/2019BORD0014/document.

Повний текст джерела
Анотація:
Le Calcul Haute Performance, regroupant l’ensemble des acteurs responsables de l’amélioration des performances de calcul des applications scientifiques sur supercalculateurs, s’est donné pour objectif d’atteindre des performances exaflopiques. Cette course à la performance se caractérise aujourd’hui par la fabrication de machines hétérogènes dans lesquelles chaque composant est spécialisé. Parmi ces composants, les mémoires du système se spécialisent, et la tendance va vers une architecture composée de plusieurs mémoires aux caractéristiques complémentaires. La question se pose alors de l’utilisation de ces nouvelles machines dont la performance pratique dépend du placement des données de l’application sur les différentes mémoires. Dans cette thèse, nous avons développé une formulation du problème d’allocation de donnée sur une Architecture à Mémoires Hétérogènes. Dans cette formulation, nous avons fait apparaître le bénéfice que pourrait apporter une analyse temporelle du problème, parce que de nombreux travaux reposaient uniquement sur une approche spatiale. À partir de cette formulation, nous avons développé un outil de profilage hors ligne pour approximer les coefficients de la fonction objective afin de résoudre le problème d’allocation et d’optimiser l’allocation des données sur une architecture composée deux de mémoires principales aux caractéristiques complémentaires. Afin de réduire la quantité de modifications nécessaires pour prendre en compte la stratégie d’allocation recommandée par notre boîte à outils, nous avons développé un outil capable de rediriger automatiquement les allocations de données à partir d’un minimum d’instrumentation dans le code source. Les gains de performances obtenus sur des mini-applications représentatives des applications scientifiques codées par la communauté permet d’affirmer qu’une allocation intelligente des données est nécessaire pour bénéficier pleinement de ressources mémoires hétérogènes. Sur certaines tailles de problèmes, le gain entre un placement naïf est une allocation instruite peut atteindre un facteur ×3.75
High Performance Computing, which brings together all the players responsible for improving the computing performance of scientific applications on supercomputers, aims to achieve exaflopic performance. This race for performance is today characterized by the manufacture of heterogeneous machines in which each component is specialized. Among these components, system memories specialize too, and the trend is towards an architecture composed of several memories with complementary characteristics. The question arises then of these new machines use whose practical performance depends on the application data placement on the different memories. Compromising code update against performance is challenging. In this thesis, we have developed a data allocation on Heterogeneous Memory Architecture problem formulation. In this formulation, we have shown the benefit of a temporal analysis of the problem, because many studies were based solely on a spatial approach this result highlight their weakness. From this formulation, we developed an offline profiling tool to approximate the coefficients of the objective function in order to solve the allocation problem and optimize the allocation of data on a composite architecture composed of two main memories with complementary characteristics. In order to reduce the amount of code changes needed to execute an application according to our toolbox recommended allocation strategy, we have developed a tool that can automatically redirect data allocations from a minimum source code instrumentation. The performance gains obtained on mini-applications representative of the scientific applications coded by the community make it possible to assert that intelligent data allocation is necessary to fully benefit from heterogeneous memory resources. On some problem sizes, the gain between a naive data placement strategy, and an educated data allocation one, can reach up to ×3.75 speedup
Стилі APA, Harvard, Vancouver, ISO та ін.
13

Puma, Sébastien. "Optimisation des apprentissages : modèles et mesures de la charge cognitive." Thesis, Toulouse 2, 2016. http://www.theses.fr/2016TOU20058/document.

Повний текст джерела
Анотація:
La théorie de la charge cognitive (CLT) permet de décrire l’investissement des ressources cognitives lors d’apprentissages scolaires. Cependant, elle présente deux limites, théorique et méthodologique. D’un point de vue théorique, la CLT utilise des modèles de la mémoire de travail (MdT), pour décrire les ressources cognitives utilisées lors des apprentissages, qui ne prennent pas en compte les variations de l’utilisation des ressources cognitives au cours du temps. L’autre limite est méthodologique : non seulement elle ne propose pas de mesure de la charge cognitive qui soit fiable ou dynamique.Pour répondre à ces limites, nous proposons d’utiliser des mesures physiologiques et un nouveau modèle de la MdT, le modèle TBRS (Time Based Resource Sharing). Les mesures physiologiques permettent d’analyser les variations temporelles de la charge cognitive. Le modèle TBRS prend en compte l’allocation dynamique du focus attentionnel. L’objectif de ce travail de thèse est d’étudier l’apport des mesures physiologiques et du modèle TBRS à la CLT.Pour répondre à la question méthodologique, une première expérimentation a utilisé une épreuve du concours de l’École Nationale d’Aviation Civile, en enregistrant des mesures physiologiques. Ensuite, quatre expérimentations ont tenté de répondre à la question théorique, portant sur l’utilisation du modèle TBRS dans le cadre de la CLT. Elles ont commencé par deux réplications d’une étude princeps du modèle TBRS, utilisant des items pouvant être regroupés en chunks. Les deux expérimentations suivantes ont étendu ces résultats. Enfin, une sixième expérimentation a utilisé des mesures physiologiques pour étudier les variations de charge cognitive des participants lors d’un protocole similaire à celui des quatre expérimentations précédentes.Les résultats de ces six expérimentations montrent que le modèle TBRS et les mesures physiologiques sont non seulement compatibles avec la CLT mais qu’elles l’enrichissent
Learning allows you to gain the necessary knowledge to adapt to the world. Cognitive load theory takes into consideration cognitive resources invested during school learning. However, two main limitations can be identified: a theoretical one and a methodological one. From a theoretical perspective, CLT invoke working memory (WM) to describe the cognitive resources used during learning and these models do not take time into account. The other limit is related to methodology: CLT doesn’t offer measures of cognitive load either reliable or dynamic.Taking into consideration these limitations, we suggest the use of physiological measurement and a new WM model: the TBRS (Time Based Resource Sharing). Physiological measurement is a mean to analyze the temporal variations implied by the cognitive load while TBRS model takes the temporal variation of the attentional focus allocation into account. However, the TBRS has not yet been used with meaningful items, which could be gathered into chunks. Thus, the aim of the present work is to study the benefits of using physiological measurement and the TBRS model with CLT.To address the question of cognitive load measurement, a first experiment used a task included in the ENAC’s (École Nationale d’Aviation Civile) recruitment selection process. During the experiment, cerebral activity (EEG) and eye movements (Eye-tracking) were recorded. Another series of four experiments stressed the question of the use of the TBRS model in CLT. They began by replicating a previous study using the TBRS model (exp. 2 & 3), replacing items to be held in memory by items which could be chunked. The other two experiments extended these results. Finally a sixth experiment used physiological measures to assess cognitive load variations while participants performed a protocol similar to the previous experiments.Results from these six experiments show that TBRS model and physiological measurement are consistent with CLT and also complete its findings
Стилі APA, Harvard, Vancouver, ISO та ін.
14

Carpov, Sergiu. "Scheduling for memory management and prefetch in embedded multi-core architectures." Compiègne, 2011. http://www.theses.fr/2011COMP1962.

Повний текст джерела
Анотація:
Cette thèse est consacrée à l'étude de plusieurs problèmes d'optimisation combinatoire qui se présentent dans le domaine du calcul parallèle embarqué. En particulier, la gestion optimale de la mémoire et des problèmes d'ordonnancement pour les applications flot de données exécutées sur des processeurs massivement multicoeurs sont étudiés. Deux techniques d'optimisation d'accès à la mémoire sont considérées : la réutilisation des données et le préchargement. La gestion des accès à la mémoire est déclinée en trois problèmes d'optimisation combinatoire. Dans le premier problème, une stratégie de préchargement pour les applications flot de données est étudiée, de façon à minimiser le temps d'exécution de l'application. Ce problème est modélisé comme un flow shop hybride sous contraintes de précédence, un problème NP-difficile. Un algorithme de résolution heuristique avec deux bornes inférieures sont proposés afin de faire une estimation conservatrice, quoique suffisamment précise, de la distance à l'optimum des solutions obtenues. Le deuxième problème traite de l'exécution conditionnelle dépendante des données et de la gestion optimale du préchargement pour les structures de branchement. Quelques fonctions économiques, ainsi que des techniques de préchargement, sont examinées. Dans tous ces cas des algorithmes de résolution polynomiaux sont proposés. Le troisième problème consiste à ordonner un ensemble de tâches de façon à maximiser la réutilisation des données communes. Ce problème étant NP-difficile, ce que nous avons établi, nous avons proposé deux algorithmes heuristiques. La distance à l'optimum des solutions est estimée en utilisant des solutions exactes. Ces dernières sont obtenues à l'aide d'une méthode branch-and-bound que nous avons proposée
This PhD thesis is devoted to the study of several combinatorial optimization problems which arise in the field of parallel embedded computing. Optimal memory management and related scheduling problems for dataflow applications executed on massively multi-core processors are studied. Two memory access optimization techniques are considered: data reuse and prefetch. The memory access management is instantiated into three combinatorial optimization problems. In the first problem, a prefetching strategy for dataflow applications is investigated so as to minimize the application execution time. This problem is modeled as a hybrid flow shop under precedence constraints, an NP-hard problem. An heuristic resolution algorithm together with two lower bounds are proposed so as to conservatively, though fairly tightly, estimate the distance to the optimality. The second problem is concerned by optimal prefetch management strategies for branching structures (data-controlled tasks). Several objective functions, as well as prefetching techniques, are examined. In all these cases polynomial resolution algorithms are proposed. The third studied problem consists in ordering a set of tasks so as to minimize the number of times the memory data are fetched. In this way the data reuse for a set of tasks is optimized. This problem being NP-hard, a result we have established, we have proposed two heuristic algorithms. The optimality gap of the heuristic solutions is estimated using exact solutions. The latter ones are obtained using a branch and bound method we have proposed
Стилі APA, Harvard, Vancouver, ISO та ін.
15

Saidi, Selma. "Optimisation des transferts de données sur systèmes multiprocesseurs sur puce." Phd thesis, Université de Grenoble, 2012. http://tel.archives-ouvertes.fr/tel-00875582.

Повний текст джерела
Анотація:
Les systèmes multiprocesseurs sur puce, tel que le processeur CELL ou plus récemment Platform 2012, sont des architectures multicœurs hétérogènes constitués d'un processeur host et d'une fabric de calcul qui consiste en plusieurs petits cœurs dont le rôle est d'agir comme un accélérateur programmable. Les parties parallélisable d'une application, qui initialement est supposé etre executé par le host, et dont le calcul est intensif sont envoyés a la fabric multicœurs pour être exécutés. Ces applications sont en général des applications qui manipulent des tableaux trés larges de données, ces données sont stockées dans une memoire distante hors puce (off-chip memory) dont l 'accès est 100 fois plus lent que l 'accès par un cœur a une mémoire locale. Accéder ces données dans la mémoire off-chip devient donc un problème majeur pour les performances. une characteristiques principale de ces plateformes est une mémoire local géré par le software, au lieu d un mechanisme de cache, tel que les mouvements de données dans la hiérarchie mémoire sont explicitement gérés par le software. Dans cette thèse, l 'objectif est d'optimiser ces transfert de données dans le but de reduire/cacher la latence de la mémoire off-chip .
Стилі APA, Harvard, Vancouver, ISO та ін.
16

Cabout, Thomas. "Optimisation technologique et caractérisation électrique de mémoires résistives OxRRAM pour applications basse consommation." Thesis, Aix-Marseille, 2014. http://www.theses.fr/2014AIXM4778/document.

Повний текст джерела
Анотація:
Aujourd'hui, le marché des mémoires non-volatile est dominé par la technologie Flash. Cependant, cette technologie est en passe d'atteindre ses limites de miniaturisation. Ainsi, dans le but de poursuivre la réduction des dimensions, de nouveaux concepts mémoires sont explorés. Parmi les technologies émergentes, la mémoire résistive OxRRAM basée sur la commutation de résistance d’une structure Métal/Isolant/Métal, cette technologie présente des performances prometteuses, supporte une réduction de ses dimensions critiques et offre une bonne compatibilité avec les filières CMOS. Toutefois, cette technologie mémoire n'en est qu'au stade du développement et se heurte à une compréhension que partielle des mécanismes de commutation de résistance.Ce travail de thèse s'intègre dans ce contexte et vise à apporter une contribution supplémentaire au développement de cette technologie. La première partie est consacrée à la sélection du meilleur couple électrodes/matériau actif. A l’aide d’une analyse des caractéristiques électriques de commutation, l’empilement TiNHfO2Ti est retenu pour être intégré dans une structure 1T1R. Une seconde partie présente la caractérisation électrique avancée de l’architecture mémoire 1T1R. L'influence des différents paramètres de programmation est analysée et les performances électriques sont évaluées. La dernière partie apporte des éléments d'analyse et de compréhension sur les mécanismes de commutation de résistance. La mesure, en fonction de la température, des caractéristiques électriques de commutation a permis d'analyser l'influence de la température et du champ électrique sur les mécanismes physiques à l'origine du changement de résistance
Today, non-volatile memory market is dominated by charge storage based technologies. However, this technology reaches his scaling limits and solutions to continue miniaturization meet important technological blocks. Thus, to continue scaling for advanced nodes, new non-volatile solutions are developed. Among them, oxide based resistive memories (OxRRAM) are intensively studied. Based on resistance switching of Metal/Isolator/Metal stack, this technology shows promising performances and scaling perspective but isn’t mature and still suffer from a lake of switching mechanism physical understanding.Results presented in this thesis aim to contribute to the development of OxRRAM technology. In a first part, an analysis of different materials constituting RRAM allow us to compare unipolar and bipolar switching modes and select the bipolar one that benefit from lower programming voltage and better performances. Then identified memory stack TiNHfO2Ti have been integrated in 1T1R structure in order to evaluate performances and limitation of this structure. Operating of 1T1R structure have been carefully studied and good endurance and retention performances are demonstrated. Finally, in the last part, thermal activation of switching characteristics have been studied in order to provide some understanding of the underling physical mechanisms. Reset operation is found to be triggered by local temperature while retention performances are dependent of Set temperature
Стилі APA, Harvard, Vancouver, ISO та ін.
17

Agharben, El Amine. "Optimisation et réduction de la variabilité d’une nouvelle architecture mémoire non volatile ultra basse consommation." Thesis, Lyon, 2017. http://www.theses.fr/2017LYSEM013.

Повний текст джерела
Анотація:
Le marché mondial des semi-conducteurs connait une croissance continue due à l'essor de l'électronique grand public et entraîne dans son sillage le marché des mémoires non volatiles. L'importance de ces produits mémoires est accentuée depuis le début des années 2000 par la mise sur le marché de produits nomades tels que les smartphones ou plus récemment les produits de l’internet des objets. De par leurs performances et leur fiabilité, la technologie Flash constitue, à l'heure actuelle, la référence en matière de mémoire non volatile. Cependant, le coût élevé des équipements en microélectronique rend impossible leur amortissement sur une génération technologique. Ceci incite l’industriel à adapter des équipements d’ancienne génération à des procédés de fabrication plus exigeants. Cette stratégie n’est pas sans conséquence sur la dispersion des caractéristiques physiques (dimension géométrique, épaisseur…) et électriques (courant, tension…) des dispositifs. Dans ce contexte, le sujet de ma thèse est d’optimiser et de réduire la variabilité d’une nouvelle architecture mémoire non volatile ultra basse consommation.Cette étude vise à poursuivre les travaux entamés par STMicroelectronics sur le développement, l’étude et la mise en œuvre de boucles de contrôle de type Run-to-Run (R2R) sur une nouvelle cellule mémoire ultra basse consommation. Afin d’assurer la mise en place d’une régulation pertinente, il est indispensable de pouvoir simuler l’influence des étapes du procédé de fabrication sur le comportement électrique des cellules en s’appuyant sur l’utilisation d’outils statistiques ainsi que sur une caractérisation électrique pointue
The global semiconductor market is experiencing steady growth due to the development of consumer electronics and the wake of the non-volatile memory market. The importance of these memory products has been accentuated since the beginning of the 2000s by the introduction of nomadic products such as smartphones or, more recently, the Internet of things. Because of their performance and reliability, Flash technology is currently the standard for non-volatile memory. However, the high cost of microelectronic equipment makes it impossible to depreciate them on a technological generation. This encourages industry to adapt equipment from an older generation to more demanding manufacturing processes. This strategy is not without consequence on the spread of the physical characteristics (geometric dimension, thickness ...) and electrical (current, voltage ...) of the devices. In this context, the subject of my thesis is “Optimization and reduction of the variability of a new architecture ultra-low power non-volatile memory”.This study aims to continue the work begun by STMicroelectronics on the improvement, study and implementation of Run-to-Run (R2R) control loops on a new ultra-low power memory cell. In order to ensure the implementation of a relevant regulation, it is essential to be able to simulate the process manufacturing influence on the electrical behavior of the cells, using statistical tools as well as the electric characterization
Стилі APA, Harvard, Vancouver, ISO та ін.
18

Barci, Marinela. "Caractérisation électrique et optimisation technologique des mémoires résistives Conductive Bridge Memory (CBRAM) afin d’optimiser la performance, la vitesse et la fiabilité." Thesis, Université Grenoble Alpes (ComUE), 2016. http://www.theses.fr/2016GREAT022/document.

Повний текст джерела
Анотація:
La technologie Flash arrive à ses limites de miniaturisation. Ainsi, la nécessité de nouvelles technologies mémoire augmente. Les candidats au remplacement des mémoires Flash sont les technologies non volatiles émergentes comme les mémoires à pont conducteur (CBRAM), résistives à base d'oxyde (RRAM), mémoires magnétiques (MRAM) et mémoires à changement de phase (PCRAM). En particulier, les mémoires CBRAM sont basées sur structure simple métal-isolant-métal (MIM) et présentent plusieurs avantages par rapport aux autres technologies. La CBRAM est non volatile, à savoir qu'elle garde l’information lorsque l'alimentation est coupée, ses dimensions peuvent être réduites jusqu'à nœud 10 nm, elle peut facilement être intégrée dans le Back-End d’une intégration CMOS, enfin, elle a une vitesse de fonctionnement élevée à basse tension et un faible coût de fabrication. Néanmoins, les spécifications pour l'industrialisation des CBRAM sont très strictes. Dans cette thèse, nous analysons deux générations de technologie CBRAM, chacune adressant un marché d'application spécifique. La première partie de la thèse est consacrée à l’étude électrique des structures à base de cuivre et de GdOX, qui présentent comme avantages une conservation des données très stable et une bonne résistance lors de la soudure des puces, et un bon comportement de l'endurance. Cette technologie adresse principalement les applications à haute température telle que l'automobile. Pour répondre aux spécifications, un oxyde métallique dopé ainsi que des bicouches sont intégrés pour réduire la tension de formation de la mémoire et augmenter la fenêtre de programmation. Les performances en endurance sont améliorées. La deuxième partie est dédiée à une nouvelle technologie de CBRAM, avec un empilement de type MIM. Dans ce cas, nous avons démontré des temps de commutation très rapides de 20ns à basses tensions (2V), combinés avec une endurance satisfaisante et une bonne rétention des données. Cette technologie semble être compatible avec les applications Internet des objets (IOT). En résumé, au cours de ce doctorat, l'objectif principal était d'étudier la fiabilité des dispositifs embarqués CBRAM en termes d’écriture des données, endurance et la conservation de l’information. Une méthodologie de test spécifique a été développée, afin d’évaluer les performances des technologies étudiées. Des modèles physiques ont été mis au point pour expliquer et analyser les résultats expérimentaux. Sur la base des résultats obtenus, nous démontrons que la technologie de CBRAM est très prometteuse pour les futures applications de mémoires non volatiles
Flash technology is approaching its scaling limits, so the demand for novel memory technologies is increasing. Promising replacing candidates are the emerging non volatile technologies such as Conductive Bridge Memory (CBRAM), Oxide based Resistive RAM (OXRAM), Magnetic Random Access Memory (MRAM) and Phase Change Memory (PCRAM). In particular, CBRAM is based on a simple Metal-Insulator-Metal (MIM) structure and presents several advantages compared to the other technologies. CBRAM is non volatile, i.e. it keeps the information when the power is off, it is scalable down to 10nm technology node, it can be easily integrated into the Back-End-of-Line (BEOL), finally, it has high operation speed at low voltages and low cost per bit. Nevertheless, demands for the industrialization of CBRAM are very stringent and issues related to device reliability are still to be faced. In this thesis we analyze two generations of CBRAM technology, each one addressing a specific application market. The first part of the PhD is dedicated to the electricalstudy of Cu-based/GdOx structures, which present the advantages of a very stable data retention and resistance to soldering reflow and also good endurance behavior. This CBRAM family addresses mainly the high temperature applications as automotive. To fulfill the specification requirements, doping of metal-oxide andbilayers are integrated to decrease the forming voltage and increase the programmingwindow. Better endurance performance is also achieved. The second part isdedicated to a new CBRAM technology, with a simple MIM structure. In this case, the device showsfast operation speed of 20ns at low voltages of 2V, combined with satisfying endurance and data retention. This technology seems to be compatible with the growing Internet of Things (IOT) market. In summary, during the PhD research, the main objective was to study the reliability of the embedded CBRAM devices in terms of forming, endurance and data retention. Some methodologies were developed and the electrical set-up was modified and adapted to specific measurements. Physical models were developed to explain and better fit the experimental results. Based on the obtained results, we demonstrate that the CBRAM technology is highly promising for future NVM applications
Стилі APA, Harvard, Vancouver, ISO та ін.
19

Abid, Fatma. "Contribution à la robustesse et à l'optimisation fiabiliste des structures Uncertainty of shape memory alloy micro-actuator using generalized polynomial chaos methodUncertainty of shape memory alloy micro-actuator using generalized polynomial chaos method Numerical modeling of shape memory alloy problem in presence of perturbation : application to Cu-Al-Zn-Mn specimen An approach for the reliability-based design optimization of shape memory alloy structure Surrogate models for uncertainty analysis of micro-actuator." Thesis, Normandie, 2019. http://www.theses.fr/2019NORMIR24.

Повний текст джерела
Анотація:
La conception des ouvrages économiques a suscité de nombreux progrès dans les domaines de la modélisation et de l’optimisation, permettant l’analyse de structures de plus en plus complexes. Cependant, les conceptions optimisées sans considérer les incertitudes des paramètres, peuvent ne pas respecter certains critères de fiabilité. Pour assurer le bon fonctionnement de la structure, il est important de prendre en considération l’incertitude dès la phase de conception. Il existe plusieurs théories dans la littérature pour traiter les incertitudes. La théorie de la fiabilité des structures consiste à définir la probabilité de défaillance d’une structure par la probabilité que les conditions de bon fonctionnement ne soient pas respectées. On appelle cette étude l’analyse de la fiabilité. L’intégration de l’analyse de fiabilité dans les problèmes d’optimisation constitue une nouvelle discipline introduisant des critères de fiabilité dans la recherche de la configuration optimale des structures, c’est le domaine de l’optimisation fiabiliste (RBDO). Cette méthodologie de RBDO vise donc à considérer la propagation des incertitudes dans les performances mécaniques en s’appuyant sur une modélisation probabiliste des fluctuations des paramètres d’entrée. Dans ce cadre, ce travail de thèse porte sur l’analyse robuste et l’optimisation fiabiliste des problèmes mécaniques complexes. Il est important de tenir compte des paramètres incertains du système pour assurer une conception robuste. L’objectif de la méthode RBDO est de concevoir une structure afin d’établir un bon compromis entre le coût et l’assurance de fiabilité. Par conséquent, plusieurs méthodes, telles que la méthode hybride et la méthode optimum safety factor, ont été développées pour atteindre cet objectif. Pour remédier à la complexité des problèmes mécaniques complexes comportant des paramètres incertains, des méthodologies spécifiques à cette problématique, tel que les méthodes de méta-modélisation, ont été développées afin de bâtir un modèle de substitution mécanique, qui satisfait en même temps l’efficacité et la précision du modèle
The design of economic system leads to many advances in the fields of modeling and optimization, allowing the analysis of structures more and more complex. However, optimized designs can suffer from uncertain parameters that may not meet certain reliability criteria. To ensure the proper functioning of the structure, it is important to consider uncertainty study is called the reliability analysis. The integration of reliability analysis in optimization problems is a new discipline introducing reliability criteria in the search for the optimal configuration of structures, this is the domain of reliability optimization (RBDO). This RBDO methodology aims to consider the propagation of uncertainties in the mechanical performance by relying on a probabilistic modeling of input parameter fluctuations. In this context, this thesis focuses on a robust analysis and a reliability optimization of complex mechanical problems. It is important to consider the uncertain parameters of the system to ensure a robust design. The objective of the RBDO method is to design a structure in order to establish a good compromise between the cost and the reliability assurance. As a result, several methods, such as the hybrid method and the optimum safety factor method, have been developed to achieve this goal. To address the complexity of complex mechanical problems with uncertain parameters, methodologies specific to this issue, such as meta-modeling methods, have been developed to build a mechanical substitution model, which at the same time satisfies the efficiency and the precision of the model
Стилі APA, Harvard, Vancouver, ISO та ін.
20

Saadane, Sofiane. "Algorithmes stochastiques pour l'apprentissage, l'optimisation et l'approximation du régime stationnaire." Thesis, Toulouse 3, 2016. http://www.theses.fr/2016TOU30203/document.

Повний текст джерела
Анотація:
Dans cette thèse, nous étudions des thématiques autour des algorithmes stochastiques et c'est pour cette raison que nous débuterons ce manuscrit par des éléments généraux sur ces algorithmes en donnant des résultats historiques pour poser les bases de nos travaux. Ensuite, nous étudierons un algorithme de bandit issu des travaux de N arendra et Shapiro dont l'objectif est de déterminer parmi un choix de plusieurs sources laquelle profite le plus à l'utilisateur en évitant toutefois de passer trop de temps à tester celles qui sont moins per­formantes. Notre but est dans un premier temps de comprendre les faiblesses structurelles de cet algorithme pour ensuite proposer une procédure optimale pour une quantité qui mesure les performances d'un algorithme de bandit, le regret. Dans nos résultats, nous proposerons un algorithme appelé NS sur-pénalisé qui permet d'obtenir une borne de regret optimale au sens minimax au travers d'une étude fine de l'algorithme stochastique sous-jacent à cette procédure. Un second travail sera de donner des vitesses de convergence pour le processus apparaissant dans l'étude de la convergence en loi de l'algorithme NS sur-pénalisé. La par­ticularité de l'algorithme est qu'il ne converge pas en loi vers une diffusion comme la plupart des algorithmes stochastiques mais vers un processus à sauts non-diffusif ce qui rend l'étude de la convergence à l'équilibre plus technique. Nous emploierons une technique de couplage afin d'étudier cette convergence. Le second travail de cette thèse s'inscrit dans le cadre de l'optimisation d'une fonc­tion au moyen d'un algorithme stochastique. Nous étudierons une version stochastique de l'algorithme déterministe de boule pesante avec amortissement. La particularité de cet al­gorithme est d'être articulé autour d'une dynamique qui utilise une moyennisation sur tout le passé de sa trajectoire. La procédure fait appelle à une fonction dite de mémoire qui, selon les formes qu'elle prend, offre des comportements intéressants. Dans notre étude, nous verrons que deux types de mémoire sont pertinents : les mémoires exponentielles et poly­nomiales. Nous établirons pour commencer des résultats de convergence dans le cas général où la fonction à minimiser est non-convexe. Dans le cas de fonctions fortement convexes, nous obtenons des vitesses de convergence optimales en un sens que nous définirons. En­fin, l'étude se termine par un résultat de convergence en loi du processus après une bonne renormalisation. La troisième partie s'articule autour des algorithmes de McKean-Vlasov qui furent intro­duit par Anatoly Vlasov et étudié, pour la première fois, par Henry McKean dans l'optique de la modélisation de la loi de distribution du plasma. Notre objectif est de proposer un al­gorithme stochastique capable d'approcher la mesure invariante du processus. Les méthodes pour approcher une mesure invariante sont connues dans le cas des diffusions et de certains autre processus mais ici la particularité du processus de McKean-Vlasov est de ne pas être une diffusion linéaire. En effet, le processus a de la mémoire comme les processus de boule pesante. De ce fait, il nous faudra développer une méthode alternative pour contourner ce problème. Nous aurons besoin d'introduire la notion de pseudo-trajectoires afin de proposer une procédure efficace
In this thesis, we are studying severa! stochastic algorithms with different purposes and this is why we will start this manuscript by giving historicals results to define the framework of our work. Then, we will study a bandit algorithm due to the work of Narendra and Shapiro whose objectif was to determine among a choice of severa! sources which one is the most profitable without spending too much times on the wrong orres. Our goal is to understand the weakness of this algorithm in order to propose an optimal procedure for a quantity measuring the performance of a bandit algorithm, the regret. In our results, we will propose an algorithm called NS over-penalized which allows to obtain a minimax regret bound. A second work will be to understand the convergence in law of this process. The particularity of the algorith is that it converges in law toward a non-diffusive process which makes the study more intricate than the standard case. We will use coupling techniques to study this process and propose rates of convergence. The second work of this thesis falls in the scope of optimization of a function using a stochastic algorithm. We will study a stochastic version of the so-called heavy bali method with friction. The particularity of the algorithm is that its dynamics is based on the ali past of the trajectory. The procedure relies on a memory term which dictates the behavior of the procedure by the form it takes. In our framework, two types of memory will investigated : polynomial and exponential. We will start with general convergence results in the non-convex case. In the case of strongly convex functions, we will provide upper-bounds for the rate of convergence. Finally, a convergence in law result is given in the case of exponential memory. The third part is about the McKean-Vlasov equations which were first introduced by Anatoly Vlasov and first studied by Henry McKean in order to mode! the distribution function of plasma. Our objective is to propose a stochastic algorithm to approach the invariant distribution of the McKean Vlasov equation. Methods in the case of diffusion processes (and sorne more general pro cesses) are known but the particularity of McKean Vlasov process is that it is strongly non-linear. Thus, we will have to develop an alternative approach. We will introduce the notion of asymptotic pseudotrajectory in odrer to get an efficient procedure
Стилі APA, Harvard, Vancouver, ISO та ін.
21

Zaourar, Lilia Koutchoukali. "Recherche opérationnelle et optimisation pour la conception testable de circuits intégrés complexes." Grenoble, 2010. http://www.theses.fr/2010GRENM055.

Повний текст джерела
Анотація:
Le travail de cette thèse est à l'interface des dom aines de la recherche opérationnelle et de la micro -électronique. Il traite de l'utilisation des techniques d'optimisation combinatoire pour la DFT (Design For Test) des Circuits Intégrés (CI). Avec la croissance rapide et la complexité des CI actuels, la qualité ainsi que le coût du test sont devenus des paramètres importants dans l'industrie des semi-conducteurs. Afin de s'assurer du bon fonctionnement du CI, l'étape de test est plus que jamais une étape essentielle et délicate dans le processus de fabrication d'un CI. Pour répondre aux exigences du marché, le test doit être rapide et efficace dans la révélation d'éventuels défauts. Pour cela, il devient incontournable d'appréhender la phase de test dès les étapes de conception du CI. Dans ce contexte, la conception testable plus connue sous l'appellation DFT vise à améliorer la testabilité des CI. Plusieurs problèmes d'optimisation et d'aide à la décision découlent de la micro-électronique. La plupart de ces travaux traitent des problèmes d'optimisation combinatoire pour le placement et routage des circuits. Nos travaux de recherche sont à un niveau de conception plus amont, la DFT en présynthèse au niveau transfert de registres ou RTL (Register Transfer Level). Cette thèse se découpe en trois parties. Dans la première partie nous introduisons les notions de bases de recherche opérationnelle, de conception et de test des CI. La démarche suivie ainsi que les outils de résolution utilisés dans le reste du document sont présentés dans cette partie. Dans la deuxième partie, nous nous intéressons au problème de l'optimisation de l'insertion des chaîne s de scan. A l'heure actuelle, le "scan interne" est une des techniques d'amélioration de testabilité ou de DFT les plus largement adoptées pour les circuits intégrés numériques. Il s'agit de chaîner les éléments mémoires ou bascules du circuit de sorte à former des chaînes de scan qui seront considérées pendant la phase de test comme points de contrôle et d'observation de la logique interne du circuit. L'objectif de notre travail est de développer des algorithmes permettant de générer pour un CI donné et dès le niveau RTL des chaînes de scan optimales en termes de surface, de temps de test et de consommation en puissance, tout en respectant des critères de performance purement fonctionnels. Ce problème a été modélisé comme la recherche de plus courtes chaînes dans un graphe pondéré. Les méthodes de résolution utilisées sont basées sur la recherche de chaînes hamiltoniennes de longueur minimale. Ces travaux ont été réalisés en collaboration avec la start-up DeFacTo Technologies. La troisième partie s'intéresse au problème de partage de blocs BIST (Built In Self Test) pour le test des mémoires. Le problème peut être formulé de la façon suivante : étant données des mémoires de différents types et tailles, ainsi que des règles de partage des colliers en série et en parallèle, il s'agit d'identifier des solutions au problème en associant à chaque mémoire un collier. La solution obtenue doit minimiser à la fois la surface, la consommation en puissance et le temps de test du CI. Pour résoudre ce problème, nous avons conçu un prototype nommé Memory BIST Optimizer (MBO). Il est constitué de deux phases de résolution et d'une phase de validation. La première phase consiste à créer des groupes de compatibilité de mémoires en tenant compte des règles de partage et d'abstraction des technologies utilisées. La deuxième phase utilise les algorithmes génétiques pour l'optimisation multi-objectifs afin d'obtenir un ensemble de solutions non dominées. Enfin, la validation permet de vérifier que la solution fournie est valide. De plus, elle affiche l'ensemble des solutions à travers une interface graphique ou textuelle. Cela permet à l'utilisateur de choisir la solution qui lui correspond le mieux. Actuellement, l'outil MBO est intégré dans un flot d'outils à ST-microelectronics pour une utilisation par ses clients
This thesis is a research contribution interfacing operations research and microelectronics. It considers the use of combinatorial optimization techniques for DFT (Design For Test) of Integrated Circuits (IC). With the growing complexity of current IC both quality and cost during manufacturing testing have become important parameters in the semiconductor industry. To ensure proper functioning of the IC, the testing step is more than ever a crucial and difficult step in the overall IC manufacturing process. To answer market requirements, chip testing should be fast and effective in uncovering defects. For this, it becomes essential to apprehend the test phase from the design steps of IC. In this context, DFT techniques and methodologies aim at improving the testability of IC. In previous research works, several problems of optimization and decision making were derived from the micro- electronics domain. Most of previous research contributions dealt with problems of combinatorial optimization for placement and routing during IC design. In this thesis, a higher design level is considered where the DFT problem is analyzed at the Register Transfer Level (RTL) before the logic synthesis process starts. This thesis is structured into three parts. In the first part, preliminaries and basic concepts of operations research, IC design and manufacturing are introduced. Next, both our approach and the solution tools which are used in the rest of this work are presented. In the second part, the problem of optimizing the insertion of scan chains is considered. Currently, " internal scan" is a widely adopted DFT technique for sequential digital designs where the design flip-flops are connected into a daisy chain manner with a full controllability and observability from primary inputs and outputs. In this part of the research work, different algorithms are developed to provide an automated and optimal solution during the generation of an RTL scan architecture where several parameters are considered: area, test time and power consumption in full compliance with functional performance. This problem has been modelled as the search for short chains in a weighted graph. The solution methods used are based on finding minimal length Hamiltonian chains. This work was accomplished in collaboration with DeFacTo Technologies, an EDA start-up close to Grenoble. The third part deals with the problem of sharing BIST (Built In Self Test) blocks for testing memories. The problem can be formulated as follows: given the memories with various types and sizes, and sharing rules for series and parallel wrappers, we have to identify solutions to the problem by associating a wrapper with each memory. The solution should minimize the surface, the power consumption and test time of IC. To solve this problem, we designed a prototype called Memory BIST Optimizer (MBO). It consists of two steps of resolution and a validation phase. The first step creates groups of compatibility in accordance with the rules of abstraction and sharing that depend on technologies. The second phase uses genetic algorithms for multi-objective optimization in order to obtain a set of non dominated solutions. Finally, the validation verifies that the solution provided is valid. In addition, it displays all solutions through a graphical or textual interface. This allows the user to choose the solution that fits best. The tool MBO is currently integrated into an industrial flow within ST-microelectronics
Стилі APA, Harvard, Vancouver, ISO та ін.
22

Alrammal, Muath. "Algorithms for XML stream processing : massive data, external memory and scalable performance." Phd thesis, Université Paris-Est, 2011. http://tel.archives-ouvertes.fr/tel-00779309.

Повний текст джерела
Анотація:
Plusieurs applications modernes nécessitent un traitement de flux massifs de données XML, cela crée de défis techniques. Parmi ces derniers, il y a la conception et la mise en ouvre d'outils pour optimiser le traitement des requêtes XPath et fournir une estimation précise des coûts de ces requêtes traitées sur un flux massif de données XML. Dans cette thèse, nous proposons un nouveau modèle de prédiction de performance qui estime a priori le coût (en termes d'espace utilisé et de temps écoulé) pour les requêtes structurelles de Forward XPath. Ce faisant, nous réalisons une étude expérimentale pour confirmer la relation linéaire entre le traitement de flux, et les ressources d'accès aux données. Par conséquent, nous présentons un modèle mathématique (fonctions de régression linéaire) pour prévoir le coût d'une requête XPath donnée. En outre, nous présentons une technique nouvelle d'estimation de sélectivité. Elle se compose de deux éléments. Le premier est le résumé path tree: une présentation concise et précise de la structure d'un document XML. Le second est l'algorithme d'estimation de sélectivité: un algorithme efficace de flux pour traverser le synopsis path tree pour estimer les valeurs des paramètres de coût. Ces paramètres sont utilisés par le modèle mathématique pour déterminer le coût d'une requête XPath donnée. Nous comparons les performances de notre modèle avec les approches existantes. De plus, nous présentons un cas d'utilisation d'un système en ligne appelé "online stream-querying system". Le système utilise notre modèle de prédiction de performance pour estimer le coût (en termes de temps / mémoire) d'une requête XPath donnée. En outre, il fournit une réponse précise à l'auteur de la requête. Ce cas d'utilisation illustre les avantages pratiques de gestion de performance avec nos techniques
Стилі APA, Harvard, Vancouver, ISO та ін.
23

Gu, Xiaojun. "Optimization of Shape Memory Alloy Structures with Respect to Fatigue." Thesis, Université Paris-Saclay (ComUE), 2017. http://www.theses.fr/2017SACLY012/document.

Повний текст джерела
Анотація:
Cette thèse présente une approche globale d’optimisation vis-à-vis de la fatigue des matériaux et structures en alliages à mémoire de forme (AMF). Cette approche s’articule en trois étapes : i) Le développement d’une loi de comportement capable de prédire la réponse thermomécanique à l’état stabilisé d’une structure en AMF sous chargement cyclique multiaxial non proportionnel. On prend notamment en compte la dépendance de la déformation résiduelle par rapport à la température. Par ailleurs, la méthode LATIN à grand incrément de temps a été généralisée pour les AMF dans le cadre du modèle ZM. Ceci permet de résoudre les problèmes de convergence numérique rencontrés lorsque le processus de transformation de phase se produit avec une pente du plateau de transformation faible. ii) Le développement d’un critère de fatigue à grand nombre de cycles pour les AMF. Ce critère s’inscrit dans le cadre de la théorie d’adaptation à l’instar du critère de Dang Van pour les métaux élasto-plastiques. Le critère proposé permet de calculer en chaque point de la structure en AMF un facteur de fatigue indiquant son degré de dangerosité. iii) Le développement d’une approche d’optimisation structurale qui peut être utilisée pour améliorer la durée de vie en fatigue prédite par le critère proposé dans la deuxième partie. Des exemples numériques sont traités pour valider chaque étape. L‘approche globale a par ailleurs été testée et validée pour l’optimisation structurale d’un stent
This thesis presents a comprehensive and effi cient structural optimization approach for shape memory alloys (SMAs) with respect to fatigue. The approach consists of three steps: First, the development of a suitable constitutive model capable of predicting, with good accuracy, the stabilized thermomechanical stress state of a SMA structure subjected to multiaxial nonproportional cyclic loading. The dependence of the saturated residual strain on temperature and loading rate is discussed. In order to overcome numerical convergence problems in situations where the phase transformation process presents little or no positivehardening, the large time increment method (LATIN) is utilized in combination with the ZM (Zaki-Moumni) model to simulate SMA structures instead of conventional incremental methods. Second, a shakedown-based fatigue criterion analogous to the Dang Van model for elastoplastic metals is derived for SMAs to predict whether a SMA structure subjected to high-cycle loading would undergo fatigue. The proposed criterion computes a fatigue factor at each material point, indicating its degree of safeness with respect to high-cycle fatigue. Third, a structural optimization approach, which can be used to improve the fatigue lifetime estimated using the proposed fatigue criterion is presented. The prospects of this work include the validation of the optimization approach with experimental data
Стилі APA, Harvard, Vancouver, ISO та ін.
24

Damaj, Rabih. "Inférence statistique sur le processus de Mino." Thesis, Lorient, 2015. http://www.theses.fr/2015LORIS369/document.

Повний текст джерела
Анотація:
Le sujet de cette thèse concerne l’inférence statistique sur le processus de Mino que nous définissons comme un processus auto-excité de mémoire 1 dont l’intensité est de forme particulière. Nous donnons tout d’abord une description générale des processus auto-excités et des méthodes possibles pour estimer les paramètres de l’intensité de ces processus. Puis, nous considérons le cas particulier d’un processus auto-excité de mémoire 1 que l’on rencontre en traitement du signal et que nous avons dénommé : processus de Mino. Nous montrons que ce processus est un processus de renouvellement dont les interarrivées ont une distribution particulière que nous étudions en détails. Nous envisageons alors le problème de l’estimation des paramètres de l’intensité du processus de Mino en utilisant la méthode du maximum de vraisemblance. Nous résolvons les équations de vraisemblance en utilisant l’algorithme de Newton-Raphson. La méthode est appliquée à des données simulées. La convergence de l’algorithme de Newton-Raphson est démontrée, de même que l’existence et l’unicité des estimateurs. Nous terminons par la construction d’un test d’hypothèses qui permet de détecter si un processus ponctuel est auto-excité ou non
The subject of this PhD thesis is the statistical inference on Mino process that we define as a one-memory self-exciting point process which intensiy has a special form. We begin with a general description of self-exciting point processes and we present methods used to estimate the intensity parameters of these processes. We consider the special case of a one-memory self-exciting point process, used in signal processing. We call the process: the Mino process. This process can be interpreted as a renewal process which interarrival times that follow a special distribution that we study in details. In order to estimate the parameters of a Mino process intensity, we utilize the maximum likelihood method. We solve the likelihood equations with a Newton-Raphson algorithm. We show the efficiency of the method on simulated data. The convergence of the Newton-Raphson algorithm and, the existence and uniqueness of the maximun likelihood estimators are proved. Lastly, we construct a test of hypothesis to assess whether a point process is self-exciting or not
Стилі APA, Harvard, Vancouver, ISO та ін.
25

Jacquelin, Mathias. "Memory-aware algorithms : from multicores to large scale platforms." Phd thesis, Ecole normale supérieure de lyon - ENS LYON, 2011. http://tel.archives-ouvertes.fr/tel-00662525.

Повний текст джерела
Анотація:
This thesis focus on memory-aware algorithms tailored for hierarchical memory architectures, found for instance within multicore processors. We first study the matrix product on multicore architectures. We model such a processor, and derive lower bounds on the communication volume. We introduce three ad hoc algorithms, and experimentally assess their performance.We then target a more complex operation: the QR factorization of tall matrices. We revisit existing algorithms to better exploit the parallelism of multicore processors. We thus study the critical paths of many algorithms, prove some of them to be asymptotically optimal, and assess their performance.In the next study, we focus on scheduling streaming applications onto a heterogeneous multicore platform, the QS 22. We introduce a model of the platform and use steady-state scheduling techniques so as to maximize the throughput. We present a mixed integer programming approach that computes an optimal solution, and propose simpler heuristics. We then focus on minimizing the amount of required memory for tree-shaped workflows, and target a classical two-level memory system. I/O represent transfers from a memory to the other. We propose a new exact algorithm, and show that there exist trees where postorder traversals are arbitrarily bad. We then study the problem of minimizing the I/O volume for a given memory, show that it is NP-hard, and provide a set of heuristics.Finally, we compare archival policies for BLUE WATERS. We introduce two archival policies and adapt the well known RAIT strategy. We provide a model of the tape storage platform, and use it to assess the performance of the three policies through simulation.
Стилі APA, Harvard, Vancouver, ISO та ін.
26

Castro, Márcio. "Optimisation de la performance des applications de mémoire transactionnelle sur des plates-formes multicoeurs : une approche basée sur l'apprentissage automatique." Thesis, Grenoble, 2012. http://www.theses.fr/2012GRENM074/document.

Повний текст джерела
Анотація:
Le concept de processeur multicœurs constitue le facteur dominant pour offrir des hautes performances aux applications parallèles. Afin de développer des applications parallèles capable de tirer profit de ces plate-formes, les développeurs doivent prendre en compte plusieurs aspects, allant de l'architecture aux caractéristiques propres à l'application. Dans ce contexte, la Mémoire Transactionnelle (Transactional Memory – TM) apparaît comme une alternative intéressante à la synchronisation basée sur les verrous pour ces plates-formes. Elle permet aux programmeurs d'écrire du code parallèle encapsulé dans des transactions, offrant des garanties comme l'atomicité et l'isolement. Lors de l'exécution, les opérations sont exécutées spéculativement et les conflits sont résolus par ré-exécution des transactions en conflit. Bien que le modèle de TM ait pour but de simplifier la programmation concurrente, les meilleures performances ne pourront être obtenues que si l'exécutif est capable de s'adapter aux caractéristiques des applications et de la plate-forme. Les contributions de cette thèse concernent l'analyse et l'amélioration des performances des applications basées sur la Mémoire Transactionnelle Logicielle (Software Transactional Memory – STM) pour des plates-formes multicœurs. Dans un premier temps, nous montrons que le modèle de TM et ses performances sont difficiles à analyser. Pour s'attaquer à ce problème, nous proposons un mécanisme de traçage générique et portable qui permet de récupérer des événements spécifiques à la TM afin de mieux analyser les performances des applications. Par exemple, les données tracées peuvent être utilisées pour détecter si l'application présente des points de contention ou si cette contention est répartie sur toute l'exécution. Notre approche peut être utilisée sur différentes applications et systèmes STM sans modifier leurs codes sources. Ensuite, nous abordons l'amélioration des performances des applications sur des plate-formes multicœurs. Nous soulignons que le placement des threads (thread mapping) est très important et peut améliorer considérablement les performances globales obtenues. Pour faire face à la grande diversité des applications, des systèmes STM et des plates-formes, nous proposons une approche basée sur l'Apprentissage Automatique (Machine Learning) pour prédire automatiquement les stratégies de placement de threads appropriées pour les applications de TM. Au cours d'une phase d'apprentissage préliminaire, nous construisons les profiles des applications s'exécutant sur différents systèmes STM pour obtenir un prédicteur. Nous utilisons ensuite ce prédicteur pour placer les threads de façon statique ou dynamique dans un système STM récent. Finalement, nous effectuons une évaluation expérimentale et nous montrons que l'approche statique est suffisamment précise et améliore les performances d'un ensemble d'applications d'un maximum de 18%. En ce qui concerne l'approche dynamique, nous montrons que l'on peut détecter des changements de phase d'exécution des applications composées des diverses charges de travail, en prévoyant une stratégie de placement appropriée pour chaque phase. Sur ces applications, nous avons obtenu des améliorations de performances d'un maximum de 31% par rapport à la meilleure stratégie statique
Multicore processors are now a mainstream approach to deliver higher performance to parallel applications. In order to develop efficient parallel applications for those platforms, developers must take care of several aspects, ranging from the architectural to the application level. In this context, Transactional Memory (TM) appears as a programmer friendly alternative to traditional lock-based concurrency for those platforms. It allows programmers to write parallel code as transactions, which are guaranteed to execute atomically and in isolation regardless of eventual data races. At runtime, transactions are executed speculatively and conflicts are solved by re-executing conflicting transactions. Although TM intends to simplify concurrent programming, the best performance can only be obtained if the underlying runtime system matches the application and platform characteristics. The contributions of this thesis concern the analysis and improvement of the performance of TM applications based on Software Transactional Memory (STM) on multicore platforms. Firstly, we show that the TM model makes the performance analysis of TM applications a daunting task. To tackle this problem, we propose a generic and portable tracing mechanism that gathers specific TM events, allowing us to better understand the performances obtained. The traced data can be used, for instance, to discover if the TM application presents points of contention or if the contention is spread out over the whole execution. Our tracing mechanism can be used with different TM applications and STM systems without any changes in their original source codes. Secondly, we address the performance improvement of TM applications on multicores. We point out that thread mapping is very important for TM applications and it can considerably improve the global performances achieved. To deal with the large diversity of TM applications, STM systems and multicore platforms, we propose an approach based on Machine Learning to automatically predict suitable thread mapping strategies for TM applications. During a prior learning phase, we profile several TM applications running on different STM systems to construct a predictor. We then use the predictor to perform static or dynamic thread mapping in a state-of-the-art STM system, making it transparent to the users. Finally, we perform an experimental evaluation and we show that the static approach is fairly accurate and can improve the performance of a set of TM applications by up to 18%. Concerning the dynamic approach, we show that it can detect different phase changes during the execution of TM applications composed of diverse workloads, predicting thread mappings adapted for each phase. On those applications, we achieve performance improvements of up to 31% in comparison to the best static strategy
Стилі APA, Harvard, Vancouver, ISO та ін.
27

Gou, Changjiang. "Task Mapping and Load-balancing for Performance, Memory, Reliability and Energy." Thesis, Lyon, 2020. http://www.theses.fr/2020LYSEN047.

Повний текст джерела
Анотація:
Cette thèse se concentre sur les problèmes d'optimisation multi-objectifs survenant lors de l'exécution d'applications scientifiques sur des plates-formes de calcul haute performance et des applications de streaming sur des systèmes embarqués. Ces problèmes d'optimisation se sont tous avérés NP-complets, c'est pourquoi nos efforts portent principalement sur la conception d'heuristiques efficaces pour des cas généraux et sur la proposition de solutions optimales pour des cas particuliers.Certaines applications scientifiques sont généralement modélisées comme des arbres enracinés. En raison de la taille des données temporaires, le traitement d'une telle arborescence peut dépasser la capacité de la mémoire locale. Une solution pratique sur un système multiprocesseur consiste à partitionner l'arborescence en plusieurs sous-arbres, et à exécuter chacun d'eux sur un processeur, qui est équipé d'une mémoire locale. Nous avons étudié comment partitionner l'arbre en plusieurs sous-arbres de sorte que chaque sous-arbre tienne dans la mémoire locale et que le makespan soit minimisé, lorsque les coûts de communication entre les processeurs sont pris en compte. Ensuite, un travail pratique d'ordonnancement d'arbres apparaissant dans un solveur de matrice clairsemée parallèle est examiné. L'objectif est de minimiser le temps de factorisation en présentant une bonne localisation des données et un équilibrage de charge. La technique de cartographie proportionnelle est une approche largement utilisée pour résoudre ce problème d'allocation des ressources. Il réalise une bonne localisation des données en affectant les mêmes processeurs à de grandes parties de l'arborescence des tâches. Cependant, cela peut limiter l'équilibrage de charge dans certains cas. Basé sur une cartographie proportionnelle, un algorithme d'ordonnancement dynamique est proposé. Il assouplit le critère de localisation des données pour améliorer l'équilibrage de charge. La performance de notre approche a été validée par de nombreuses expériences avec le solveur direct à matrice clairsemée parallèle PaStiX. Les applications de streaming apparaissent souvent dans les domaines vidéo et audio. Ils se caractérisent par une série d'opérations sur le streaming de données et un débit élevé. Le système multiprocesseur sur puce (MPSoC) est un système embarqué multi / plusieurs cœurs qui intègre de nombreux cœurs spécifiques via une interconnexion haute vitesse sur une seule puce. De tels systèmes sont largement utilisés pour les applications multimédias. De nombreux MPSoC fonctionnent sur piles. Un budget énergétique aussi serré nécessite intrinsèquement un calendrier efficace pour répondre aux demandes de calcul intensives. La mise à l'échelle dynamique de la tension et de la fréquence (DVFS) peut économiser de l'énergie en diminuant la fréquence et la tension au prix d'une augmentation des taux de défaillance. Une autre technique pour réduire le coût énergétique et atteindre l'objectif de fiabilité consiste à exécuter plusieurs copies de tâches. Nous modélisons d'abord les applications sous forme de chaînes linéaires et étudions comment minimiser la consommation d'énergie sous des contraintes de débit et de fiabilité, en utilisant DVFS et la technique de duplication sur les plates-formes MPSoC.Ensuite, dans une étude suivante, avec le même objectif d'optimisation, nous modélisons les applications de streaming sous forme de graphes série-parallèle, plus complexes que de simples chaînes et plus réalistes. La plate-forme cible dispose d'un système de communication hiérarchique à deux niveaux, ce qui est courant dans les systèmes embarqués et les plates-formes informatiques hautes performances. La fiabilité est garantie par l'exécution des tâches à la vitesse maximale ou par la triplication des tâches. Plusieurs heuristiques efficaces sont proposées pour résoudre ce problème d'optimisation NP-complet
This thesis focuses on multi-objective optimization problems arising when running scientific applications on high performance computing platforms and streaming applications on embedded systems. These optimization problems are all proven to be NP-complete, hence our efforts are mainly on designing efficient heuristics for general cases, and proposing optimal solutions for special cases.Some scientific applications are commonly modeled as rooted trees. Due to the size of temporary data, processing such a tree may exceed the local memory capacity. A practical solution on a multiprocessor system is to partition the tree into many subtrees, and run each on a processor, which is equipped with a local memory. We studied how to partition the tree into several subtrees such that each subtree fits in local memory and the makespan is minimized, when communication costs between processors are accounted for.Then, a practical work of tree scheduling arising in parallel sparse matrix solver is examined. The objective is to minimize the factorization time by exhibiting good data locality and load balancing. The proportional mapping technique is a widely used approach to solve this resource-allocation problem. It achieves good data locality by assigning the same processors to large parts of the task tree. However, it may limit load balancing in some cases. Based on proportional mapping, a dynamic scheduling algorithm is proposed. It relaxes the data locality criterion to improve load balancing. The performance of our approach has been validated by extensive experiments with the parallel sparse matrix direct solver PaStiX.Streaming applications often appear in video and audio domains. They are characterized by a series of operations on streaming data, and a high throughput. Multi-Processor System on Chip (MPSoC) is a multi/many-core embedded system that integrates many specific cores through a high speed interconnect on a single die. Such systems are widely used for multimedia applications. Lots of MPSoCs are batteries-operated. Such a tight energy budget intrinsically calls for an efficient schedule to meet the intensive computation demands. Dynamic Voltage and Frequency Scaling (DVFS) can save energy by decreasing the frequency and voltage at the price of increasing failure rates. Another technique to reduce the energy cost and meet the reliability target consists in running multiple copies of tasks. We first model applications as linear chains and study how to minimize the energy consumption under throughput and reliability constraints, using DVFS and duplication technique on MPSoC platforms.Then, in a following study, with the same optimization goal, we model streaming applications as series-parallel graphs, which are more complex than simple chains and more realistic. The target platform has a hierarchical communication system with two levels, which is common in embedded systems and high performance computing platforms. The reliability is guaranteed through either running tasks at the maximum speed or triplication of tasks. Several efficient heuristics are proposed to tackle this NP-complete optimization problem
Стилі APA, Harvard, Vancouver, ISO та ін.
28

Longnos, Florian. "Etude et optimisation des performances électriques et de la fiabilité de mémoires résistives à pont conducteur à base de chalcogénure/Ag ou d'oxyde métallique/Cu." Thesis, Grenoble, 2014. http://www.theses.fr/2014GRENT046.

Повний текст джерела
Анотація:
Les mémoires non-volatiles sont devenues récemment un moteur clé de la croissance du secteur des semiconducteurs, et constituent un pivot pour les nouvelles applications et les nouveaux concepts dans le domaine des technologies de l'information et de la communication (TIC). Afin de surmonter les limites en termes de miniaturisation, de consommation électrique et de complexité de fabrication des mémoires non-volatiles à grille flottante (FLASH), l'industrie des semiconducteurs évalue actuellement des solutions alternatives. Parmi celles-ci, les mémoires résistives à pont conducteur ou CBRAM (Conductive Bridge Random Access Memory), qui reposent sur la commutation de résistance d'un électrolyte par migration et oxydo/réduction d'ions métalliques, semblent être des plus prometteuses. L'attractivité de cette technologie innovante vient d'une part de la simplicité de sa structure à deux terminaux et d'autre part de ses performances électriques très prometteuses en termes de consommation électrique et vitesse d'écriture/effacement. De surcroît la CBRAM is une technology mémoire qui s'intègre facilement dans le back end of line (BEOL) du procédé CMOS standard. Dans cette thèse, nous étudions les performances électriques et la fiabilité de deux technologies CBRAM, utilisant des chalcogénures (GeS2) ou un oxyde métallique pour l'électrolyte. Tout d'abord nous nous concentrons sur les CBRAM à base de GeS2, ou l'effet du dopage de l'électrolyte avec de l'argent (Ag) ou de l'antimoine (Sb) est étudié à la lumière d'une analyse des caractérisations électriques. Les mécanismes physiques gouvernant la cinétique de commutation et la stabilité thermique sont aussi discutés sur la base de mesures électrique, d'un modèle empirique et des résultats de calculs ab initio. L'influence des différentes conditions de set/reset est étudiée sur une CBRAM à base d'oxyde métallique. Grâce à cette analyse, les conditions permettant de maximiser la fenêtre mémoire, améliorer l'endurance et minimiser la variabilité sont déterminées
Non-volatile memory technology has recently become the key driver for growth in the semiconductor business, and an enabler for new applications and concepts in the field of information and communication technologies (ICT). In order to overcome the limitations in terms of scalability, power consumption and fabrication complexity of Flash memory, semiconductor industry is currently assessing alternative solutions. Among them, Conductive Bridge Memories (CBRAM) rely on the resistance switching of a solid electrolyte induced by the migration and redox reactions of metallic ions. This technology is appealing due to its simple two-terminal structure, and its promising performances in terms of low power consumption, program/erase speed. Furthermore, the CBRAM is a memory technology that can be easily integrated with standard CMOS technology in the back end of line (BEOL). In this work we study the electrical performances and reliability of two different CBRAM technologies, specifically using chalcogenides (GeS2) and metal oxide as electrolyte. We first focus on GeS2-based CBRAM, where the effect of doping with Ag and Sb of GeS2 electrolyte is extensively investigated through electrical characterization analysis. The physical mechanisms governing the switching kinetics and the thermal stability are also addressed by means of electrical measurements, empirical model and 1st principle calculations. The influence of the different set/reset programming conditions is studied on a metal oxide based CBRAM technology. Based on this analysis, the programming conditions able to maximize the memory window, improve the endurance and minimize the variability are determined
Стилі APA, Harvard, Vancouver, ISO та ін.
29

Alonso, Thierry. "Caractérisation par essais DMA et optimisation du comportement thermomécanique de fils de NiTi - Application à une aiguille médicale déformable." Thesis, Université Grenoble Alpes (ComUE), 2015. http://www.theses.fr/2015GREAI028/document.

Повний текст джерела
Анотація:
De nombreux gestes médicaux utilisent des aiguilles. Il est proposé une solution de principe pour contrôler la trajectoire d’une aiguille lors son insertion. Ce contrôle de trajectoire permet d’éviter des obstacles et atteindre une cible avec plus de précision. La solution de principe proposée repose sur l’utilisation des alliages à mémoires de forme de type Nickel-Titane (NiTi) et des traitements thermiques localisés. Une méthode expérimentale originale pour caractériser les alliages NiTi est développée. Cette méthode repose sur l’utilisation d’un dispositif expérimental permettant de faire des mesures et analyses mécaniques dynamiques (DMA) lors d’un essai de traction ou au cours d’un balayage en température sous contrainte. Ces mesures DMA ont permis de détecter les nombreux phénomènes présents dans ces alliages : élasticité, transformation de phase, réorientation,localisation, plasticité. Les résultats des mesures effectuées sur un fil commercial de NiTi sont présentés et analysés. L’analyse de l’évolution du module de conservation a permis de mettre en évidence les différentes séquences de transformation et de définir les domaines d’existence des phases en fonction de la contrainte et de la température. Des valeurs de modules d’élasticité de l’austénite, de la martensite et de la phase R sont proposées. Enfin,des modèles d’évolution du module de conservation lors d’un essai de traction et d’un balayage en température sous contrainte sont proposés. Une dernière partie concerne l’étude des effets des traitements thermiques sur un fil NiTi étiré à froid. Une gamme de traitements thermiques a été réalisée sur un fil NiTi. Les propriétés thermomécaniques ont été investiguées à la fois par des essais de traction isothermes et des mesures DMA en balayage en température sous contrainte
Many medical procedures use needles. A solution is proposed to control and modifyneedle trajectory during its insertion. This steerable needle must be able to avoid anobstacle and reach the target with more accuracy. The solution uses Nickel Titanium(NiTi) shape memory alloy. A new experimental method is proposed to characterize NiTiwires. This method is based on experimental device wich allows to perform DynamicMechanical Analysis (DMA) during a tensile test or during a temperature sweep understress. DMA measurements can detect many phenomena : elasticity, phase transformation,reorientation, plasticity. Results for a commercial NiTi wire are presented and analyzed.Storage modulus evolution analysis shows multistage phase transformations for which thestress-temperature diagram has been established. Values of elastic modulus are determinedfor austenite, martensite and R phase. Estimation models are proposed to determinestorage modulus evolution during tensile test with DMA and temperature sweep understress with DMA. The last part of this work studies the effect of heat treatment on acold worked Niti wire. A range of heat treatments was performed. Thermomechanicaltreatment effects were investigated both with tensile tests and temperature sweeps understress with DMA
Стилі APA, Harvard, Vancouver, ISO та ін.
30

Hubert, Quentin. "Optimisation de mémoires PCRAM pour générations sub-40 nm : intégration de matériaux alternatifs et structures innovantes." Phd thesis, Université de Grenoble, 2013. http://tel.archives-ouvertes.fr/tel-01061795.

Повний текст джерела
Анотація:
Au cours des dernières années, la demande de plus en plus forte pour des mémoires non-volatiles performantes, a mené au développement des technologies NOR Flash et NAND Flash, qui dominent aujourd'hui le marché des mémoires non-volatiles. Cependant, la miniaturisation de ces technologies, qui permettait d'en réduire le coût, laisse aujourd'hui entrevoir ses limites. En conséquence, des mémoires alternatives et émergentes sont développées, et parmi celles-ci, la technologie des mémoires à changement de phase, ou PCRAM, est l'une des candidates les plus prometteuses tant pour remplacer les mémoires Flash, notamment de type NOR, que pour accéder à de nouveaux marchés tels que le marché des SCM. Toutefois, afin d'être pleinement compétitives avec les autres technologies mémoires, certaines performances de la technologie PCRAM doivent encore être améliorées. Au cours de cette thèse, nous cherchons donc à obtenir des dispositifs PCRAM plus performants. Parmi les résultats présentés, nous réduisons les courants de programmation et la consommation électrique des dispositifs tout en augmentant la rétention de l'information à haute température. Pour cela, nous modifions la structure du dispositif ou nous utilisons un matériau à changement de phase alternatif. De plus, à l'aide de solutions innovantes, nous permettons aux dispositifs PCRAM de conserver l'information pendant une éventuelle étape de soudure de la puce mémoire. Enfin, nous avons conçu, développé et validé un procédé de fabrication permettant d'intégrer une diode PN de sélection en Silicium en série avec un élément résistif PCRAM, démontrant l'intérêt de ce sélecteur vertical pour être utilisées comme élément de sélection d'une cellule PCRAM intégrée au sein d'une architecture crossbar.
Стилі APA, Harvard, Vancouver, ISO та ін.
31

Azzaz, Mourad. "Optimisation des mémoires résistives OxRAM à base d’oxydes métalliques pour intégration comme mémoires embarquées dans un nœud technologique CMOS avancé." Thesis, Université Grenoble Alpes (ComUE), 2017. http://www.theses.fr/2017GREAT052.

Повний текст джерела
Анотація:
La portabilité des mémoires Flash embarquées sur les nœuds CMOS technologiques avancés tel que le 28nm pose de nombreux problèmes de compatibilité avec les nouvelles étapes de fabrication telles que le diélectrique de grille haute permittivité, l’utilisation de grille métallique, les stresseurs et tenseurs utilisés pour piloter la performance du transistor élémentaire. L’ajout d’un dispositif à double grille classique tel que celui de la Flash apparait comme très couteux en termes de nombre de masques et d’étapes de fabrication additionnelles. De nombreuses alternatives ont vu le jour : les mémoires à changement de phase, les mémoires magnétiques et les mémoires resistives. Ce dernier type de mémoire est particulièrement attrayant pour une intégration en tant que mémoire « embarquée » sur technologie CMOS. Les matériaux utilisés (diélectrique à base d’oxyde métallique tel que le HfO₂ ou le Ta₂O₅) sont compatibles avec le procédé de fabrication CMOS comparés à ceux utilisés pour les mémoires magnétiques (risques de contamination). Les mémoires résistives sont par ailleurs basées sur une conduction filamentaire qui s’avère également particulièrement économe en énergie et adaptée aux faibles géométries quand elles sont comparées aux mémoires à changement de phase (changement d’état volumique du matériau). De nombreux industriels ont focalisé leurs efforts sur les matériaux de type HfO₂ et Ta₂O₅. Le sujet proposé fait suite à trois années de collaboration intensive entre ST Microelectronics et le CEA-LETI qui ont permis d’établir les bases d’un cellule mémoire de type Oxram fonctionnelle et facilement intégrable facilement sur une technologie CMOS. Il aura pour objectifs d’analyser les paramètres responsables des instabilités des états résistifs observés et de rechercher les différents moyens susceptibles de mieux contrôler la dispersion de ces états. Les études réalisées pourront porter sur les matériaux (diélectrique et électrodes), la technologie mise en œuvre, les conditions électriques de formation du filament [20]. La consolidation du choix du matériau et l’analyse des modes de défaillance et de la fiabilité du plan mémoire feront également partie du travail de cette première année. Ce travail sera orienté par les résultats statistiques obtenus par le biais de test à plus grande échelle (circuit de plusieurs Kbits)
Embedded Flash memories integration on advanced CMOS technological nodes such as the 28nm leads to serious compatibility problems with the new manufacturing steps such as the high-permittivity gate dielectric, the use of metal gate, etc. The addition of a conventional double-grid device such as the one for Flash appears to be very expensive in terms of number of masks and additional manufacturing steps. Many alternatives have emerged: phase change memories PCRAM, magnetic memories MRAM and resistive memories OxRAM. However, the high programming current of the PCRAM memories and the risks associated to the contamination of the materials used for the MRAM memories represent the weak points of these technologies. On the other hand, OxRAM memories are particularly attractive for integration as CMOS embedded memory. The materials used (metal oxide dielectric such as HfO₂ or Ta₂O₅) compatible with the CMOS manufacturing process and their low programming voltages due to filament conduction are an advantage for OxRAM memories.In this thesis, an in depth memory stack optimization is done to make up the OxRAM memory cell in order to be integrated into a matrix of memories. Thus, various top and bottom electrodes and various switching oxides have been studied in order to better control and improve the variability of the resistive states of the OxRAM memory cell. An evaluation of the reliability and the main memory performances in terms of Forming voltage, memory window, endurance and thermal stability were performed for each memory stack through electrical characterizations. These assessments highlighted efficient memory stacks which have been integrated into a 16Kb demonstrator. Finally, a study of the variability of the resistive states as well as their degradation mechanisms during the endurance and thermal stability were carried out through simples models and atomistic simulations (ab-initio calculations)
Стилі APA, Harvard, Vancouver, ISO та ін.
32

Koussa, Badreddin. "Optimisation des performances d'un système de transmission multimédia sans fil basé sur la réduction du PAPR dans des configurations réalistes." Thesis, Poitiers, 2014. http://www.theses.fr/2014POIT2260/document.

Повний текст джерела
Анотація:
Ce travail de thèse s'intéresse à l'optimisation des performances de transmissions multimédias par une approche originale combinant des circuits radiofréquences, tel que l'am-plificateur de puissance et les distorsions du canal de transmission. Les signaux OFDM sont très sensibles aux non-linéarités de l'amplificateur à cause des fortes fluctuations du niveau du signal, caractérisées par le PAPR. Afin de réduire le PAPR, on propose tout d'abord d'améliorer la méthode TR en termes de rapidité de convergence et de réduction du PAPR, en comparant plusieurs algorithmes d'optimisation. On montre que l'algorithme du gradient con-jugué offre les meilleures performances tout en respectant les spécifications fréquentielles du standard IEEE 802.11a. Par la suite, la méthode TR est évaluée expérimentalement en pré-sence d'un amplificateur de puissance (SZP-2026Z) en utilisant un banc de mesures. On montre ainsi que la méthode TR permet une amélioration de la qualité de transmission. Cette amélioration peut être utilisée pour modifier le point de fonctionnement de l'amplificateur et per-mettre ainsi une réduction de 18 % de la puissance consommée. Les résultats expérimentaux ont conduit au choix d'un modèle réaliste d'amplificateur en considérant les effets mémoires. Ce dernier a été intégré dans une chaîne de simulation SISO comprenant également un modèle réaliste de canal de transmission. La chaîne décrite a permis d'évaluer les performances de la méthode TR dans des conditions de transmission réalistes. Enfin, on propose d'appliquer la méthode TR dans une chaîne MIMO-OFDM en boucle fermée dédiée à la transmission de contenus multimédias scalables dans un environnement réaliste, en utilisant le standard IEEE 802.11n. Cette étude présente une évaluation originale de l'impact de la méthode TR sur la qualité visuelle des images transmises, en prenant en compte le contenu multimédia, la non-linéarité de l'amplificateur et les distorsions apportées par le canal
In this thesis, we are interested on the performances optimization of multimedia transmissions systems with an original contribution combining RF circuits' imperfections presented by the power amplifier (PA) nonlinearities and the transmission channel distortions. The studied system uses the OFDM technique which is the most widespread multicarrier modulation in recent radio communications systems. However, its major drawback is the high PAPR value, which degrades the transmission quality due to the PA nonlinearities. To reduce the PAPR, we first propose to improve the TR method in terms of convergence speed and PAPR reduction, by studying several optimization algorithms. We show that the conjugate gradient algorithm provides the best performance while respecting the frequency specifica-tions of the IEEE 802.11a standard. Thereafter, TR method has been evaluated experimentally in the presence of a commercial PA (SZP-2026Z) and using a measurement bench. It is shown that the TR method improves the quality of service (QoS), with 18% reduction in PA power consumption. The experimental study has resulted to choosing a realistic PA model consider-ing memory effects. This PA model has been integrated into a SISO simulation chain includ-ing also a realistic channel model. This chain is used to evaluate the TR method performances under realistic transmission conditions. Finally, we propose to apply the TR method in a closed-loop MIMO-OFDM chain dedicated to the transmission of scalable multimedia con-tent in a realistic context with the IEEE 802.1n standard. This study presents a new contribu-tion of the TR method evaluation to improve the visual quality of the JPWL transmitted imag-es, considering in the same time the multimedia content, the PA nonlinearity and the channel transmission distortions
Стилі APA, Harvard, Vancouver, ISO та ін.
33

Bonarota, Matthieu. "Optimisation de la programmation d’un cristal dopé aux ions de terres rares, opérant comme processeur analogique d’analyse spectrale RF, ou de stockage d’information quantique." Thesis, Paris 11, 2012. http://www.theses.fr/2012PA112324/document.

Повний текст джерела
Анотація:
La réalisation d’une mémoire quantique pour la lumière met en jeu les aspects les plus fondamentaux de l’interaction matière-rayonnement. Pour capturer l’information quantique portée par la lumière, le matériau doit être capable de se maintenir dans un état de superposition quantique. Le temps de stockage est limité par la durée de vie de cet état, caractérisée par le temps de cohérence. Les premières expériences ont été réalisées dans des vapeurs atomiques froides, bien connues. Plus récemment, les ions de terres rares en matrice cristalline (REIC) ont attiré l’attention par leurs long temps de cohérence, associés à de larges bandes passantes d’interaction. Pour exploiter ces bonnes propriétés, des protocoles spécifiques ont été proposés. Nous nous sommes tournés vers un dérivé prometteur de l’écho de photon, le Peigne Atomique de Fréquences (AFC, proposé en 2008), fondé sur la transmission du champ incident à travers un profil d’absorption spectralement périodique. Les premiers chapitres de ce manuscrit présentent ce protocole et les travaux effectués durant cette thèse pour en améliorer l’efficacité (i.e. la probabilité de capter et de restituer l’information incidente), en augmenter la bande passante et la capacité de multiplexage et en mesurer le bruit. Les chapitres suivants présentent un nouveau protocole, proposé dans notre groupe durant cette thèse, et baptisé ROSE (Revival Of Silenced Echo). Ce protocole, très proche de l’écho de photon, a été démontré et caractérisé expérimentalement. Il semble très prometteur en termes d’efficacité, de bande passante et de bruit
The development of a quantum memory for light involves the most fundamental aspects of the light-matter interaction. To store the quantum information carried by light, the material has to be able to stay in a state of quantum superposition. The storage time is limited by the lifetime of this state, characterized by the coherence time. The first experiments involved the well-known cold atomic vapors. More recently, Rare Earth Ions doped Crystals (REIC) have drawn attention because of their remarkably long coherence time, combined with a large interaction bandwidth. Specific protocols have been proposed to take the most out of these properties. We have opted for a promising spin-off of the well-known photon echo, named the Atomic Frequency Comb (AFC, proposed in 2008), based on the transmission of the incoming field through a spectrally periodic absorption profile. The first chapters of this manuscript present this protocol and our works aimed at improving its efficiency (the probability for capturing and retrieving the incoming information), increasing its bandwidth and its multiplexing capacity and measuring its noise. The following chapters present a new protocol, proposed in our group during this thesis, and called Revival Of Silenced Echo (ROSE). This protocol, similar to the photon echo, have been demonstrated and characterized experimentally. It seems really promising in terms of efficiency, bandwidth and noise
Стилі APA, Harvard, Vancouver, ISO та ін.
34

Morisset, Robin. "Compiler optimisations and relaxed memory consistency models." Thesis, Paris Sciences et Lettres (ComUE), 2017. http://www.theses.fr/2017PSLEE050/document.

Повний текст джерела
Анотація:
Les architectures modernes avec des processeurs multicœurs, ainsi que les langages de programmation modernes, ont des mémoires faiblement consistantes. Leur comportement est formalisé par le modèle mémoire de l'architecture ou du langage de programmation ; il définit précisément quelle valeur peut être lue par chaque lecture dans la mémoire partagée. Ce n'est pas toujours celle écrite par la dernière écriture dans la même variable, à cause d'optimisation dans les processeurs, telle que l'exécution spéculative d'instructions, des effets complexes des caches, et des optimisations dans les compilateurs. Dans cette thèse, nous nous concentrons sur le modèle mémoire C11 qui est défini par l'édition 2011 du standard C. Nos contributions suivent trois axes. Tout d'abord, nous avons regardé la théorie autour du modèle C11, étudiant de façon formelle quelles optimisations il autorise les compilateurs à faire. Nous montrons que de nombreuses optimisations courantes sont permises, mais, surprenamment, d'autres, importantes, sont interdites. Dans un second temps, nous avons développé une méthode à base de tests aléatoires pour détecter quand des compilateurs largement utilisés tels que GCC et Clang réalisent des optimisations invalides dans le modèle mémoire C11. Nous avons trouvés plusieurs bugs dans GCC, qui furent tous rapidement fixés. Nous avons aussi implémenté une nouvelle passez d'optimisation dans LLVM, qui recherchent des instructions des instructions spéciales qui limitent les optimisations faites par le processeur - appelées instructions barrières - et élimine celles qui ne sont pas utiles. Finalement, nous avons développé un ordonnanceur en mode utilisateur pour des threads légers communicants via des canaux premier entré-premier sorti à un seul producteur et un seul consommateur. Ce modèle de programmation est connu sous le nom de réseau de Kahn, et nous montrons comment l'implémenter efficacement, via les primitives désynchronisation de C11. Ceci démontre qu'en dépit de ses problèmes, C11 peut être utilisé en pratique
Modern multiprocessors architectures and programming languages exhibit weakly consistent memories. Their behaviour is formalised by the memory model of the architecture or programming language; it precisely defines which write operation can be returned by each shared memory read. This is not always the latest store to the same variable, because of optimisations in the processors such as speculative execution of instructions, the complex effects of caches, and optimisations in the compilers. In this thesis we focus on the C11 memory model that is defined by the 2011 edition of the C standard. Our contributions are threefold. First, we focused on the theory surrounding the C11 model, formally studying which compiler optimisations it enables. We show that many common compiler optimisations are allowed, but, surprisingly, some important ones are forbidden. Secondly, building on our results, we developed a random testing methodology for detecting when mainstream compilers such as GCC or Clang perform an incorrect optimisation with respect to the memory model. We found several bugs in GCC, all promptly fixed. We also implemented a novel optimisation pass in LLVM, that looks for special instructions that restrict processor optimisations - called fence instructions - and eliminates the redundant ones. Finally, we developed a user-level scheduler for lightweight threads communicating through first-in first-out single-producer single-consumer queues. This programming model is known as Kahn process networks, and we show how to efficiently implement it, using C11 synchronisation primitives. This shows that despite its flaws, C11 can be usable in practice
Стилі APA, Harvard, Vancouver, ISO та ін.
35

Idrissi, Aouad Maha. "Conception d'algorithmes hybrides pour l'optimisation de l'énergie mémoire dans les systèmes embarqués et de fonctions multimodales." Thesis, Nancy 1, 2011. http://www.theses.fr/2011NAN10029/document.

Повний текст джерела
Анотація:
La mémoire est considérée comme étant gloutonne en consommation d'énergie, un problème sensible, particulièrement dans les systèmes embarqués. L'optimisation globale de fonctions multimodales est également un problème délicat à résoudre du fait de la grande quantité d'optima locaux de ces fonctions. Dans ce mémoire, je présente différents nouveaux algorithmes hybrides et distribués afin de résoudre ces deux problèmes d'optimisation. Ces algorithmes sont comparés avec les méthodes classiques utilisées dans la littérature et les résultats obtenus sont encourageants. En effet, ces résultats montrent une réduction de la consommation d'énergie en mémoire d'environ 76% jusqu'à plus de 98% sur nos programmes tests, d'une part. D'autre part, dans le cas de l'optimisation globale de fonctions multimodales, nos algorithmes hybrides convergent plus souvent vers la solution optimale globale. Des versions distribuées et coopératives de ces nouveaux algorithmes hybrides sont également proposées. Elles sont, par ailleurs, plus rapides que leurs versions séquentielles respectives
Résumé en anglais : Memory is considered to be greedy in energy consumption, a sensitive issue, especially in embedded systems. The global optimization of multimodal functions is also a difficult problem because of the large number of local optima of these functions. In this thesis report, I present various new hybrid and distributed algorithms to solve these two optimization problems. These algorithms are compared with conventional methods used in the literature and the results obtained are encouraging. Indeed, these results show a reduction in memory energy consumption by about 76% to more than 98% on our benchmarks on one hand. On the other hand, in the case of global optimization of multimodal functions, our hybrid algorithms converge more often to the global optimum solution. Distributed and cooperative versions of these new hybrid algorithms are also proposed. They are more faster than their respective sequential versions
Стилі APA, Harvard, Vancouver, ISO та ін.
36

Nunes, Sampaio Diogo. "Profile guided hybrid compilation." Thesis, Université Grenoble Alpes (ComUE), 2016. http://www.theses.fr/2016GREAM082/document.

Повний текст джерела
Анотація:
L'auteur n'a pas fourni de résumé en français
The end of chip frequency scaling capacity, due heat dissipation limitations, made manufacturers search for an alternative to sustain the processing capacity growth. The chosen solution was to increase the hardware parallelism, by packing multiple independent processors in a single chip, in a Multiple-Instruction Multiple-Data (MIMD) fashion, each with special instructions to operate over a vector of data, in a Single-Instruction Multiple-Data (SIMD) manner. Such paradigm change, brought to software developer the convoluted task of producing efficient and scalable applications. Programming languages and associated tools evolved to aid such task for new developed applications. But automated optimizations capable of coping with such a new complex hardware, from legacy, single threaded applications, is still lacking.To apply code transformations, either developers or compilers, require to assert that, by doing so, they are not changing the expected comportment of the application producing unexpected results. But syntactically poor codes, such as use of pointer parameters with multiple possible indirections, complex loop structures, or incomplete codes, make very hard to extract application behavior solely from the source code in what is called a static analyses. To cope with the lack of information extracted from the source code, many tools and research has been done in, how to use dynamic analyses, that does application profiling based on run-time information, to fill the missing information. The combination of static and dynamic information to characterize an application are called hybrid analyses. This works advocates for the use of hybrid analyses to be able to optimizations on loops, regions where most of computations are done. It proposes a framework capable of statically applying some complex loop transformations, that previously would be considered unsafe, by assuring their safe use during run-time with a lightweight test.The proposed framework uses application execution profiling to help the static loop optimizer to: 1) identify and classify program hot-spots, so as to focus only on regions vital for the execution time; 2) guide the optimizer in understanding the overall loop behavior, so as to reduce the valid loop transformations search space; 3) using instruction's memory access functions, it statically builds a lightweight run-time test that determine, based on the program parameters values, if a given optimization is safe to be used or not. It's applicability is shown by performing complex loop transformations into a variety of loops, obtained from applications of different fields, and demonstrating that the run-time overhead is insignificant compared to the loop execution time or gained performance, in the vast majority of cases
Стилі APA, Harvard, Vancouver, ISO та ін.
37

Roche, Gilles. "L'angiographie dynamique des membres inferieurs : evaluation, optimisation et protocoles." Rennes 1, 1992. http://www.theses.fr/1992REN1M036.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
38

Nguyen, Kim Thanh. "Optimisation et conception d’une prothèse de membre inférieur : matériaux, simulations et prototypage." Thesis, université Paris-Saclay, 2021. http://www.theses.fr/2021UPAST046.

Повний текст джерела
Анотація:
Il est proposé dans ce travail de doctorat de développer une conception optimale adaptée à la fabrication additive, y compris en utilisant des matériaux composites et en développant des méthodes pour caractériser les matériaux/structures réalisés (banc de test, simulation éléments finis). Ce travail pourra alors être appliqué pour concevoir une prothèse pour membre inférieur, répondant aux exigences en termes de résistance des matériaux et de compatibilité/interaction avec l'humain. Les simulations éléments finis seront réalisées pour améliorer la conception et tester la structure sous différents cas de charge.Les travaux reposent sur des simulations numériques mais aussi sur des expériences. Aujourd'hui, les simulations numériques se sont fortement développées parallèlement à la fabrication additive et à la science des matériaux. Ces nouvelles méthodes permettent d’innover dans la domaine de la conception des prothèses. Par exemple, la combinaison de la simulation numérique et de l'optimisation associée à l’utilisation de matériaux innovants, peut permettre de concevoir des systèmes prosthétiques avec les propriétés souhaitées pour recouvrir les fonctions dégradées du patient.Des travaux expérimentaux sont menés afin d'identifier l'interaction entre l'emboîture prothétique et le moignon. La pression de contact de la souche et la contrainte de la douille sont mesurées à l’aide du circuit électronique. L'emboîture prothétique est fabriquée en utilisant une technique de fabrication additive. Le modèle du moignon est également conçu et fabriqué sur la base de la fabrication additive. Une couche de silicone de 1 cm d'épaisseur est placée sur la surface externe du modèle de moignon pour reproduire la peau humaine
It is proposed in this PhD work to develop an optimal design of a prosthetic part suitable for additive fabrication, based on material engineering and structural design, as well as manufacturing and testing/characterization. The objective is to find a way to obtain a functional prosthesis satisfying all the operational requirements in terms of material strength and human/structure matching. Finite element-based simulations will also be carried out to help in the design process.The work focuses first on numerical simulations than on experiments. Today, numerical simulations have developed strongly alongside additive manufacturing and materials science. These new methods make it possible to innovate in the field of prosthesis design. For example, the combination of numerical simulation and optimization associated with the use of innovative materials, allow designing prosthetic systems with the desired properties to cover the degraded functions of the patient.Experimental work is carried out to identify the interaction between the prosthetic socket and the stump. The stump’s contact pressure and the socket’s stress are measured by using the electronic circuit. The prosthetic socket is fabricated by using additive manufacturing technique. The stump model is also designed and manufactured based on additive fabrication and a 1cm-silicone layer is added on the outer surface of the stump.Keyword: FE Simulation, Additive Fabrication, Composites, Optimization
Стилі APA, Harvard, Vancouver, ISO та ін.
39

Naaim, Alexandre. "Modélisation cinématique et dynamique avancée du membre supérieur pour l’analyse clinique." Thesis, Lyon, 2016. http://www.theses.fr/2016LYSE1014/document.

Повний текст джерела
Анотація:
Les Artefacts de Tissus Mous (ATM) sont actuellement une des limitations principales pour la mesure du mouvement du membre supérieur avec les techniques actuelles d'analyse du mouvement. L'optimisation multi-segmentaire (OMS) a déjà prouvé son efficacité pour la mesure du mouvement du membre inférieur. Afin d'avoir la meilleure correction possible, il est nécessaire d'utiliser des modèles d'articulation proches de l'anatomie. L'objectif de cette thèse a donc été de développer et de valider un modèle du membre supérieur qui pourrait être utilisé pour la correction des ATM par OMS. De nouveaux modèles en boucle fermée de l'avant-bras et de la ceinture scapulaire ont ainsi été développés accompagnés d'un nouveau modèle de l'articulation scapulo-thoracique imposant à la scapula d'être tangente à un ellipsoïde modélisant le thorax. Ces nouveaux modèles ont été confrontés aux modèles courants de la littérature à travers une étude avec vis intra-corticales sur cadavre et in vivo sur sujets asymptomatiques. Des niveaux d'erreur similaires ont été observés pour tous les modèles quant à leur capacité de corriger les ATM et d'imiter la cinématique osseuse. Les nouveaux modèles semblent cependant beaucoup plus intéressants dans une perspective de développement d'un modèle musculo- squelettique. En effet, le modèle d'avant-bras autorise à la fois d'avoir le mouvement du radius et de l'ulna tandis que le modèle scapulo-thoracique représente mieux la contrainte existant entre le thorax et la scapula. En résumé, cette thèse a permis de développer un modèle complet proche de l'anatomie du membre supérieur permettant de corriger les ATM en utilisant une OMS. Bien que la correction des ATM obtenue n'est pas aussi satisfaisante qu'espérée, l'utilisation de cette approche pour le développement de futurs modèles musculo-squelettique a été validée
Soft Tissue Artefact (STA) is one of the most important limitations when measuring upper limb kinematics through marker-based motion capture techniques, especially for the scapula. Multi Body Optimisation (MBO) has already been proposed to correct STA when measuring lower limb kinematics and can be easily adapted for upper limb. For this purpose, the joint kinematic constraints should be as anatomical as possible. The aim of this thesis was thus to define and validate an anatomical upper limb kinematic model that could be used both to correct STA through the use of MBO and for future musculoskeletal models developments. For this purpose, a model integrating closed loop models of the forearm and of the scapula belt have been developed, including a new anatomical-based model of the scapulothoracic joint. This model constrained the scapula plane to be tangent to an ellipsoid modelling the thorax. All these models were confronted to typical models extracted from the literature through cadaveric and in vivo intracortical pins studies. All models generated similar error when evaluating their ability to mimic the bones kinematics and to correct STA. However, the new forearm and scapulothoracic models were more interesting when considering further musculoskeletal developments: The forearm model allows considering both the ulna and the radius and the scapulothoracic model better represents the constraint existing between the thorax and the scapula. This thesis allowed developing a complete anatomical upper limb kinematic chain. Although the STA correction obtained was not as good as expected, the use of this approach for a future musculoskeletal models has been validated
Стилі APA, Harvard, Vancouver, ISO та ін.
40

TRUONG, DAN NAM. "Optimisations logicielles de la localite : le placement precis des donnees en memoire." Rennes 1, 1998. http://www.theses.fr/1998REN10094.

Повний текст джерела
Анотація:
Cette etude analyse l'impact des techniques de placement des donnees en memoire sur le taux d'echecs des caches et propose des methodes de placement pour des structures de donnees heterogenes. Dans une premiere partie, une classification des defauts de cache est proposee pour evaluer l'impact du placement des donnees sur le taux d'echecs des caches. Cette classification garde la philosophie du classement propose par m. D. Hill. Cependant, elle permet de montrer l'influence du placement des donnees sur le taux d'echecs. Un placement optimal permettrait d'eliminer les defauts de conflit ainsi qu'une partie des defauts de capacite des programmes. Une heuristique a donc ete developpee pour ameliorer le placement en memoire des scalaires independants. Pour cela, une methode de mesure de la localite des references entre deux donnees a ete mise au point. L'heuristique a ete appliquee sur des traces de programmes. Les resultats obtenus confirment qu'en ameliorant le placement memoire on peut eliminer une grande partie des defauts de capacite et de conflit. Cette heuristique ne peut cependant pas etre utilisee comme telle car il est rare que les programmes manipulent des scalaires sans aucune contraintes de placement. Il faut donc adapter des techniques de placement memoire a chaques types de donnees. Les programmeurs utilisent frequemment des structures de donnees allouees dynamiquement lorsqu'il faut manipuler un grand nombre de donnees. Nous proposons donc d'ameliorer le placement memoire de ce type de donnee en reorganisant les champs des structures et en entrelacant les champs de plusieurs instances en memoire. Pour faciliter l'entrelacement des instances, une librairie d'allocation specifique a ete developpee. La combinaison de ces optimisations permet d'obtenir des gains de performance significatifs en reduisant les taux d'echecs des caches.
Стилі APA, Harvard, Vancouver, ISO та ін.
41

Dakhil, Nawfal. "Analyse et optimisation des interactions membre/prothèse dans les cas d'amputation des membres inférieurs." Thesis, Aix-Marseille, 2020. http://www.theses.fr/2020AIXM0330.

Повний текст джерела
Анотація:
On compte près de 20 000 nouvelles amputations chaque année en France. Bien que des solutions techniques existent pour accompagner les patients et leur restituer une mobilité, les complications cliniques sont fréquentes et trop de patients renoncent encore à les utiliser. Les prothésistes manquent d’indicateurs précis et sont amenés à revoir parfois plusieurs fois leurs patients avant d’obtenir une solution acceptable. Ce projet de recherche, dans le domaine de la médecine physique et réadaptation, a comme objectif d’apporter un éclairage nouveau sur les interactions mécaniques entre le moignon et la prothèse afin d’optimiser la phase de conception. En arrière-plan, nous pensons aux avantages potentiels que peuvent apporter les techniques les plus récentes d’impression 3D et de personnalisation.La première étape de ce travail a concerné l’analyse de l’état de l’art: avec l’épidémiologie et l’étiologie des amputés, les différents types de prothèses trans-tibiales existantes, leurs méthodes de fabrication et enfin l’étude des approches numériques développées pour leurs améliorations.La seconde étape a permis le développement d’un modèle biomécanique en éléments finis de moignon, à partir du membre résiduel d’un patient, couplé avec une emboiture personnalisée idéalisée. Une campagne de mesures sur 8 patients a permis de comparer les valeurs de pressions à l’interface entre le moignon et l’emboiture pour les résultats expérimentaux et numériques.La dernière étape a été consacrée à l’étude de la technique de réduction de l’emboiture utilisée par les prothésistes.En conclusion de ce travail des recommandations de bonnes pratiques sont proposés
There are nearly 20,000 new amputations each year in France. Although technical solutions exist to support patients and restore mobility to them, clinical complications are frequent and too many patients still leave out using them. Prosthetists lack precise indicators and sometimes have to review their patients several times before obtaining an acceptable solution.This research project, in the field of physical medicine and rehabilitation, aims to shed new light on the mechanical interactions between the stump and the prosthesis in order to optimize the design phase. Behind the scenes, we think about the potential benefits of the latest 3D printing and personalization techniques can bring.The first stage of this work has concerned the analysis of the state of the art: with the epidemiology and etiology of amputees, the different types of existing trans-tibial prostheses, their manufacturing methods and finally the study. Digital approaches developed for their improvements.The second step enabled the development of a finite element biomechanical model of a stump, from a patient's residual limb, coupled with an idealized personalized socket. A measurement campaign on 8 patients made it possible to compare the pressure values at the interface between the stump and the socket for the experimental and numerical results.The last step was devoted to the study of the socket reduction technique used by prosthetists.In conclusion of this work, recommendations of good practices are proposed
Стилі APA, Harvard, Vancouver, ISO та ін.
42

Josnin, Matthieu Planchon Bernard. "Optimisation du temps d'hospitalisation des patients présentant une thrombose veineuse profonde des membres inférieurs." [S.l.] : [s.n.], 2007. http://castore.univ-nantes.fr/castore/GetOAIRef?idDoc=26121.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
43

Delespierre, Tiba. "Etude de cas sur architectures à mémoires distribuées : une maquette systolique programmable et l'hypercube d'Intel." Paris 9, 1987. https://portail.bu.dauphine.fr/fileviewer/index.php?doc=1987PA090073.

Повний текст джерела
Анотація:
Présentation de deux types de calculateurs parallèles à mémoires distribuées: la machine Systolimag, un réseau systolique programmable universel et l'hypercube d'Intel. Une étude est faite sur les programmes réalisés sur la machine Systolimag et l'hypercube d'Intel
Стилі APA, Harvard, Vancouver, ISO та ін.
44

Glaudin, Lilian. "Stratégies multicouche, avec mémoire, et à métrique variable en méthodes de point fixe pour l'éclatement d'opérateurs monotones et l'optimisation." Thesis, Sorbonne université, 2019. http://www.theses.fr/2019SORUS119.

Повний текст джерела
Анотація:
Plusieurs stratégies sans liens apparents coexistent pour mettre en œuvre les algorithmes de résolution de problèmes d'inclusion monotone dans les espaces hilbertiens. Nous proposons un cadre synthétique permettant d'englober diverses approches algorithmiques pour la construction de point fixe, clarifions et généralisons leur théorie asymptotique, et concevons de nouveaux schémas itératifs pour l'analyse non linéaire et l'optimisation convexe. Notre méthodologie, qui est ancrée sur un modèle de compositions de quasicontractions moyennées, nous permet de faire avancer sur plusieurs fronts la théorie des algorithmes de point fixe et d'impacter leurs domaines d'applications. Des exemples numériques sont fournis dans le contexte de la restauration d'image, où nous proposons un nouveau point de vue pour la formulation des problèmes variationnels
Several apparently unrelated strategies coexist to implement algorithms for solving monotone inclusions in Hilbert spaces. We propose a synthetic framework for fixed point construction which makes it possible to capture various algorithmic approaches, clarify and generalize their asymptotic behavior, and design new iterative schemes for nonlinear analysis and convex optimization. Our methodology, which is anchored on an averaged quasinonexpansive operator composition model, allows us to advance the theory of fixed point algorithms on several fronts, and to impact their application fields. Numerical examples are provided in the context of image restoration, where we propose a new viewpoint on the formulation of variational problems
Стилі APA, Harvard, Vancouver, ISO та ін.
45

Guemann, Matthieu. "Vers un contrôle sensori-moteur bio-inspiré des prothèses myoélectriques du membre supérieur." Thesis, Bordeaux, 2019. http://www.theses.fr/2019BORD0273.

Повний текст джерела
Анотація:
La perte d'autonomie engendrée par l'amputation du membre supérieur touche, en France, une population jeune et active. Les répercussions sur le plan physique et psychologique en font une problématique à la fois clinique, technique et scientifique. La faible prévalence de l'amputation du membre supérieur fait qu'elle est considérée comme une pathologie orpheline. L'appareillage proposé aux patients reste très limité dans ses commandes malgré les progrès technologiques et les multiples fonctionnalités apportées par les prothèses de dernière génération. Le contrôle de ces outils reste complexe et non intuitif, ce qui a pour conséquence un taux d'abandon élevé. Les travaux sur les prothèses myoélectriques ont mis en avant que pour être pleinement fonctionnelle et utilisée par les patients, la prothèse devrait pouvoir (i) générer des réponses réflexes, et (ii) redonner une sensorialité perdue. Durant cette thèse, nous avons exploré ces deux aspects que sont les comportements réflexes et la substitution sensorielle. La première partie étudie la régulation de la commande motrice par les boucles sensorimotrices de bas niveau. Nous avons testé un réseau simplifié connecté à un modèle musculo-squelettique de bras dans l'objectif de produire des mouvements d'amplitudes et de durées déterminées. Les capacités du réseau à produire ces comportements ont été évaluées par trois algorithmes d'optimisation. Cette étude nous a permis d’explorer l’espace des comportements possibles du système neuro-mécanique. Bien que très simplifié, le système était capable de produire des mouvements biologiquement plausibles en présence de gravité. Ce réseau simplifié montre une grande richesse d’expressions comportementales où un même mouvement peut être produit par plusieurs combinaisons de paramètres. Ce type de réseau est un candidat potentiel pour faire le lien entre les commandes descendantes basiques telles que les enregistrements d'activité musculaire (EMG) et les mouvements produits par les moteurs de la prothèse. De plus, cette structure a le potentiel de produire des réponses réflexes. Concernant l'étude de la substitution sensorielle, nous avons mis au point un dispositif produisant des stimulations vibrotactiles permettant de donner au sujet les informations de position angulaire de leur coude. Nous l'avons utilisé dans plusieurs expérimentations et mis en évidence les bonnes capacités de discrimination spatiale chez des patients amputés et des sujets sains. Nous l'avons ensuite utilisé dans un contrôle en ligne d'un bras virtuel où les vibrations permettaient de donner des repères spatiaux dans une tâche d'atteinte de cibles. Cette expérience a révélé que le feedback proprioceptif permettait d'améliorer la performance par rapport à une condition sans feedback. En revanche, si l'ajout du feedback proprioceptif à la vision n'a pas amélioré la performance, il ne l'a pas dégradé non plus. De plus, le contrôle en présence des deux feedback a été le plus apprécié des sujets. Ce travail nous a permis d'enrichir les connaissances autour de la commande des prothèses myoélectriques avec pour objectif de se rapprocher du contrôle le plus naturel possible
The loss of autonomy caused by the upper limb amputation affects a young and active population in France. The physical and psychological consequences raise some technical, scientific and clinical issues. The low prevalence of upper limb amputation is such that this affection is considered a rare disease. Today's prostheses are offering new possibilities of motion, but they are still limited in their command process. Current controls of these prostheses are non-intuitive and complex, leading to a high abandon rate. Research on this field highlights that to be fully functional and used by patients, prostheses should be able to (i) generate reflex responses, and (ii) feedback the sensation lost. In this thesis, we aimed to explore these two aspects, which are the reflex responses and the sensory substitution. The first part of this work investigates the regulation of the motor command through a spinal network that represents the low-level sensorimotor loops. We have tested this network connected to a musculoskeletal model of an arm with the goal to produce movements with multiple amplitudes and durations. The network's capacities were tested using three optimization algorithms, allowing to explore the behavioral space (i.e. the ensemble of movements produced by the neuromechanical simulations). Although very simplified, this system was capable of producing biologically acceptable movements, in the presence of gravity. This simple neural network produced a rich ensemble of behaviors, each given movement being possibly achieved with different combinations of parameters values. This type of network seems to be a good candidate to make the link between the basics descending commands such as the recorded muscle activity (EMG) and the prostheses motions. The other part of the thesis focused on sensory substitution. We built a vibrotactile device giving feedback of elbow angle to the subject. We found that patients and non-amputee subjects had good scores regarding spatial discrimination with vibrotactile stimulations, and we showed that they were all able to control a virtual arm only guided by the vibrotactile feedback during reaching tasks. However, adding proprioceptive feedback was not found to improve performance when compared to only visual information. Yet, it is important to stress that it did not deteriorate performance neither. Furthermore, the control involving both feedback was preferred by the participants. Taken together, this work provides useful information for the improvement of the myoelectric control of prostheses, while aiming to approach a natural and intuitive control of movement
Стилі APA, Harvard, Vancouver, ISO та ін.
46

Gasparutto, Xavier. "Modélisation articulaire pour la cinématique et la dynamique du membre inférieur." Thesis, Lyon 1, 2013. http://www.theses.fr/2013LYO10247/document.

Повний текст джерела
Анотація:
L’analyse 3D du mouvement humain repose généralement sur un ensemble d’hypothèses permettant de modéliser et d’approcher la complexité du corps humain. Le but de ce travail de thèse est de s’affranchir des hypothèses les plus classiques (liaisons simples et parfaites de type rotule ou pivot) dans les calculs de cinématique et de dynamique inverse allant jusqu'à l'estimation des forces musculo-tendineuses. La première partie de la thèse traite de la cinématique articulaire du genou à l’aide de modèles cinématiques « géométriques » représentant les structures anatomiques par des éléments simples (sphère, plan, barre). Ces modèles apparaissent sous la forme de contraintes lors des calculs de cinématique (effectués notamment par optimisation multi-segmentaire). Le travail réalisé a consisté à introduire des ligaments déformables par l’utilisation de méthodes de pénalités pour la gestion de cescontraintes. Il a été montré que ces méthodes, utilisées avec un modèle géométrique générique, permettaient une amélioration de l’estimation de la cinématique du genou in-vivo basée sur des marqueurs cutanés (par rapport aux autres méthodes classiques) en introduisant des couplages articulaires physiologiques. La flexibilité des méthodes permet également d’envisager lapersonnalisation des modèles. La seconde partie se penche sur la dynamique du membre inférieur en étudiant l’influence des actionspassives des structures péri-articulaires durant la marche. Le travail a consisté en une étude locale et une étude globale de ces actions. L’étude locale a montré que l’influence des moments passifs ligamentaires reste limitée sur les forces musculo-tendineuses et les forces de contact articulaire. L’étude globale a montré que les moments passifs de l’ensemble des structures péri-articulaires ontune contribution substantielle aux moments moteurs durant la marche et que les modèles de moments passifs ligamentaires disponibles dans la littérature ne sont pas fiables. L’ensemble de ces développements cherche, à terme, à permettre une approche multi-échelle de la modélisation du membre inférieur. Dans cette optique, la modélisation articulaire proposée (avec desliaisons qui ne sont plus ni simples ni parfaites) permet un couplage plus adapté entre les différentes modélisations (de type multi-corps rigides articulés et éléments finis)
The main objective of this work is to overcome the most classical hypotheses used in kinematics (lower pair mechanical joints) and inverse dynamics computation (joints without resistance) including the estimation of muscular forces. Kinematics is addressed in the first part of the thesis by using “geometric” kinematic models consisting in simple elements (sphere, plane, shaft) modeling the anatomical structures. These models correspond to constraints in the kinematic computation (especially in multi-body optimization). The work consisted in introducing deformable ligaments by using a penalty-based method. It has beenshowed that this method used with a generic geometric model improved the estimation of the knee kinematics from the skin markers, when compared to more classical methods, and introduce physiological couplings between the degrees of freedom. Model personalization is also considered thanks to the flexibility of the method. The influence of the passive structure actions during gait is studied in the second part of the thesis. The work consisted in a local and a global study of those actions. The local study showed that the influence on the joint contact and musculo-tendon forces of the ligament passive moments is limited. The global study showed that the passive moments of the whole peri-articular structures contribute to the motor moments during gait and that the passive ligament moments available in the literature are not appropriate. The long term objective of those studies is to develop a multi-scale approach of the lower limb modeling. The proposed articular modeling (with more complex joints) allows a better interaction between the different scales of modeling (rigid multi-body vs. finite elements)
Стилі APA, Harvard, Vancouver, ISO та ін.
47

Rostami, Mostafa. "Contribution à l'étude dynamique de la phase unipodale de la marche sagittale, et étude expérimentale du comportement dynamique d'un membre locomoteur anthropomorphe de robot bipède." Poitiers, 1999. http://www.theses.fr/1999POIT2281.

Повний текст джерела
Анотація:
Le travail presente s'inscrit dans le cadre du projet bip concernant la realisation d'un robot bipede anthropomorphe. Ce robot a ete concu de maniere a reproduire des allures de marche humaine. La phase unipodale represente en moyenne 80% de la duree d'un pas et sa dynamique est complexe. Le premier chapitre est consacre a l'etude de cette phase lorsqu'elle est consideree comme passive. D'abord, sur la base d'un modele a 3 ddl, nous cherchons a engendrer des allures de marche au cours desquelles le bipede se comporte comme un pendule compose. Cette recherche est repetee pour trois types de repartition de masse comprenant une repartition anthropomorphe. Les resultats obtenus montrent que l'idee d'un transfert pendulaire durant la phase unipodale n'est pas satisfaisante. Puis, en utilisant le principe du maximum de pontriaguine, nous cherchons a determiner un mouvement optimal pendant la phase unipodale, pour differents modeles a 4, 5 et 6 ddl. Les mouvements optimaux sont engendres par minimisation de l'integrale des couples actionneurs quadratiques. D'autres criteres de performance sont definis en ajoutant au precedent, la duree de transfert ou les puissances des couples articulaires. L'allure des mouvements obtenus depend du critere introduit, mais depend surtout des conditions initiales et finales fixees. Un bon choix de ces conditions conduit a des mouvements dont l'allure evoque la marche humaine. Le respect de contraintes cinematiques articulaires et l'evitement d'obstacles sont realises par l'emploi de techniques de penalite. Le mouvement optimal pour la montee d'une marche d'escalier est simule avec un modele a 6 ddl. Le troisieme chapitre est consacre a des tests de validation d'un membre locomoteur prototype equipe de ses quatre moteurs. Le comportement du systeme est analyse en flexion-extension, sous differentes charges. Un aspect essentiel de cette analyse est l'estimation des couples de frottement articulaires.
Стилі APA, Harvard, Vancouver, ISO та ін.
48

Calvin, Christophe. "Minimisation du sur-coût des communications dans la parallélisation des algorithmes numériques." Phd thesis, Grenoble INPG, 1995. http://tel.archives-ouvertes.fr/tel-00005034.

Повний текст джерела
Анотація:
Le but de ce memoire est d'étudier les voies possibles pour minimiser le sur-coût des communications consécutif à la parallélisation d'algorithmes numériques sur machines parallèles à mémoire distribuée. La première voie explorée consiste à optimiser les schémas de communication des données et résultats mis en oeuvre dans les versions parallèles de noyaux de calcul. Nous proposons notamment de nouveaux algorithmes pour réaliser une transposition de matrices carrées allouées par blocs, sur différentes topologies de réseaux d'interconnexion. Nous avons également étudié le problème de l'échange total. Ce schéma de communication se retrouve fréquemment dans les versions parallèles d'algorithmes numériques (comme dans l'algorithme du gradient conjugué). Nous proposons des algorithmes efficaces d'échange total pour des topologies toriques. La deuxième voie qui a été explorée consiste à recouvrir les communications par du calcul. Nous avons étudié quelques principes algorithmiques de base permettant de masquer au mieux les communications. Ceux-ci sont basés, notamment, sur des techniques d'enchainement de phases de calcul et de communication, ainsi que sur le re-ordonnancement local de tâches afin d'optimiser le recouvrement. Ces techniques sont illustrées sur des algorithmes parallèles de calcul de transformée de Fourier. Les différentes implantations de ces algorithmes sur de nombreuses machines parallèles à mémoire distribuée (T3D de Cray, SP2 d'IBM, iPSC-860 et Paragon d'Intel) montrent le gain en temps d'exécution apporté par ces méthodes.
Стилі APA, Harvard, Vancouver, ISO та ін.
49

Mazure-Bonnefoy, Alice. "Modèle cinématique et dynamique tridimensionnel du membre inférieur : Estimation des forces musculaires et des réactions articulaires au cours de la phase d'appui de la marche." Phd thesis, Université Claude Bernard - Lyon I, 2006. http://tel.archives-ouvertes.fr/tel-00567644.

Повний текст джерела
Анотація:
L'objectif de ce travail a été d'acquérir une meilleure connaissance de la motricité humaine tant au niveau clinique que fondamentale à travers deux études bien précises. La première portait sur une étude clinique à savoir, l'influence d'injection ciblée de toxine botulique au niveau du couple musculaire rectus fémoris – semitendinosus sur la vélocité mise en jeu au cours de la marche globale d'enfants infirmes moteurs cérébraux (IMC). La deuxième portait quant à elle sur une question plus fondamentale, à savoir, la modélisation biomécanique avancée du système musculo-squelettique au cours de la phase d'appui de la marche. En ce qui concerne l'étude clinique, le but a donc été à la fois biomécanique et clinique. D'un point de vue expérimental, plusieurs étapes ont été nécessaires avant la mise en place du protocole final utilisé à l'heure actuelle. De plus, un long travail d'analyse a été réalisé avec le clinicien responsable de ce projet, le docteur Dohin, afin d'établir et de sélectionner les critères cinématiques et cinétiques qui nous ont semblés pertinents. Ainsi, cette étude a été l'occasion de valider les critères discriminatifs d'évaluation en analyse quantifiée de la marche (AQM) pour des résultats visant à améliorer ou à restaurer la marche chez les enfants infirmes moteurs cérébraux. Au niveau fondamental, le but de notre étude a été la mise en place d'un protocole expérimental et des méthodes de calculs permettant d'évaluer quantitativement le fonctionnement du système ostéo-articulaire et musculaire non pathologique. Pour cela, une modélisation avancée a été développée afin d'appréhender le rôle des différentes structures : géométrie articulaires, ligaments, muscles, dans le fonctionnement des articulations humaines à travers des mouvements tel que la marche. D'un point de vue expérimental, l'acquisition de via points directement sur le sujet, permettant ainsi la personnalisation des bras de levier selon la morphologie de notre sujet, a été une étape importante et originale de notre travail. Afin de vérifier la pertinence de nos bras de levier « personnalisés », nous avons dans un premier temps, utilisé une technique d'optimisation statique « classique » visant à minimiser le critère : sommes des contraintes musculaires au carré. Les résultats obtenus sont tout à fait cohérents avec ceux présentés dans la littérature et avec le mouvement étudié. D'un point de vue modélisation, nous avons décidé d'affiner la représentation numérique du comportement du muscle pour rendre le modèle plus proche de la réalité. Ainsi, le comportement mécanique du complexe musculo-tendineux c'est-à-dire les relations forces longueurs, forces vitesses d'élongations et forces activations ont été prises en compte grâce au modèle rhéologique de Hill. Pour cela une étude bibliographique poussée a été nécessaire afin de cerner les nombreuses contraintes liées aux comportements mécaniques du complexe musculo-tendineux. Suite à cette étude bibliographique, nous avons sélectionné la modélisation mathématique la mieux adaptée à nos besoins. Les équations mathématiques mises en place dans notre travail contiennent donc de nombreux paramètres physiques tel que : la force isométrique maximale, la section physiologique, la longueur optimale de la fibre musculaire, l'angle de pennation, la longueur du tendon à partir de laquelle une force est développé... Une fois les forces musculaires calculées à partir du modèle rhéologiques de type Hill, ces dernières ont été implémentées dans la fonction d'optimisation classique. Plusieurs critères ont été alors testés. Le but étant d'essayer de comprendre l'influence du critère sur nos résultats et lequel serait à utiliser afin de prédire au mieux les forces musculaires mises en jeu au de la phase d'appui de la marche. Puis pour finaliser notre étude, les réactions articulaires au niveau de la cheville et du genou ont été calculées à partir des différentes configurations. Dans un premier temps, à partir des forces musculaires prédites par l'optimisation statique classique puis à partir de l'optimisation statique avec le critère modifié. Ceci nous a permis de voir au niveau de la réaction articulaire l'influence de la prise en compte des paramètres physiologiques des muscles. Cette donnée est très importante dans le domaine de la rééducation fonctionnelle et pour les concepteurs de prothèse.
Стилі APA, Harvard, Vancouver, ISO та ін.
50

Novytskyi, Dimitri. "Méthodes géométriques pour la mémoire et l'apprentissage." Phd thesis, Université Paul Sabatier - Toulouse III, 2007. http://tel.archives-ouvertes.fr/tel-00285602.

Повний текст джерела
Анотація:
Cette these est consacree aux methodes geometriques dans l'optimisation, l'apprentissage et les reseaux neuronaux. Dans beaucoup de problemes de l'apprentissage (supervises et non supervises), de la reconnaissance des formes, et du groupage, il y a un besoin de tenir en compte de la structure interne (intrinseque) de l'espace fondamental, qui n'est pas toujours euclidien. Pour les varietes Riemanniennes nous construisons des algorithmes pour la methode de Newton, les methodes de gradients conjugues, et certaines methodes non-lisses d'optimisation comme r-algorithme. A cette fin nous developpons des methodes pour le calcul des geodesiques dans les sous-varietes bases sur des equations de Hamilton et l'integration symplectique. Apres nous construisons un nouveau type avec de la memoire associative neuronale capable de l'apprentissage non supervise et du groupage (clustering). Son apprentissage est base sur moyennage generalise dans les varietes de Grassmann. Future extension de cette memoire implique les machines a noyaux et transformations de l'espace implicites. Aussi nous considerons des algorithmes geometriques pour le traitement des signaux et le filtrage adaptatif. Les methodes proposees sont testees avec des exemples standard et avec des problemes reels de reconnaissance des images et du traitement des signaux. L'application des reseaux neurologiques proposes est demontree pour un projet reel complet de la reconnaissance des images chimiques (nez electronique).
Стилі APA, Harvard, Vancouver, ISO та ін.
Ми пропонуємо знижки на всі преміум-плани для авторів, чиї праці увійшли до тематичних добірок літератури. Зв'яжіться з нами, щоб отримати унікальний промокод!

До бібліографії