Книги з теми "LOW POWER PERFORMANCE"

Щоб переглянути інші типи публікацій з цієї теми, перейдіть за посиланням: LOW POWER PERFORMANCE.

Оформте джерело за APA, MLA, Chicago, Harvard та іншими стилями

Оберіть тип джерела:

Ознайомтеся з топ-50 книг для дослідження на тему "LOW POWER PERFORMANCE".

Біля кожної праці в переліку літератури доступна кнопка «Додати до бібліографії». Скористайтеся нею – і ми автоматично оформимо бібліографічне посилання на обрану працю в потрібному вам стилі цитування: APA, MLA, «Гарвард», «Чикаго», «Ванкувер» тощо.

Також ви можете завантажити повний текст наукової публікації у форматі «.pdf» та прочитати онлайн анотацію до роботи, якщо відповідні параметри наявні в метаданих.

Переглядайте книги для різних дисциплін та оформлюйте правильно вашу бібліографію.

1

J, Sarmiento Charles, and United States. National Aeronautics and Space Administration., eds. Low power arcjet performance. [Washington, DC]: National Aeronautics and Space Administration, 1990.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
2

Yoo, Hoi-Jun. Low-power NoC for high-performance SoC design. Boca Raton, Fl: Taylor & Francis, 2008.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
3

Korec, Jacek. Low voltage power MOSFETs: Design, performance and applications. New York: Springer, 2011.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
4

Yoo, Hoi-Jun. Low-Power NoC for High-Performance SoC Design. London: Taylor and Francis, 2008.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
5

G, Oklobdzija Vojin, ed. Digital system clocking: High-performance and low-power aspects. New York: IEEE, 2003.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
6

H, Berns Darren, and United States. National Aeronautics and Space Administration., eds. Performance of a low-power subsonic-arc-attachment arcjet thruster. [Washington, D.C.]: NASA, 1993.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
7

H, Berns Darren, and United States. National Aeronautics and Space Administration., eds. Performance of a low-power subsonic-arc-attachment arcjet thruster. [Washington, D.C.]: NASA, 1993.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
8

H, Berns Darren, and United States. National Aeronautics and Space Administration., eds. Performance of a low-power subsonic-arc-attachment arcjet thruster. [Washington, D.C.]: NASA, 1993.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
9

Lim, Sung Kyu. Design for High Performance, Low Power, and Reliable 3D Integrated Circuits. New York, NY: Springer New York, 2013. http://dx.doi.org/10.1007/978-1-4419-9542-1.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
10

Ho, Ron, and Robert Drost, eds. Coupled Data Communication Techniques for High-Performance and Low-Power Computing. Boston, MA: Springer US, 2010. http://dx.doi.org/10.1007/978-1-4419-6588-2.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
11

K, Kokula Krishna Hari, and K. Saravanan, eds. An Efficient Implementation and Analysis of Low Power High Performance Multipliers. Tiruppur, Tamil Nadu, India: Association of Scientists, Developers and Faculties, 2016.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
12

E, Elbuluk Malik, and NASA Glenn Research Center, eds. Low temperature performance of high power density DC/DC converter modules. [Cleveland, Ohio]: National Aeronautics and Space Administration, Glenn Research Center, 2001.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
13

W, Haag Thomas, and United States. National Aeronautics and Space Administration., eds. An extended life and performance test of a low-power arcjet. [Washington, DC]: National Aeronautics and Space Administration, 1988.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
14

Coupled data communication techniques for high-performance and low-power computing. New York: Springer, 2010.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
15

W, Haag Thomas, and United States. National Aeronautics and Space Administration., eds. An extended life and performance test of a low-power arcjet. [Washington, DC]: National Aeronautics and Space Administration, 1988.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
16

Lim, Sung Kyu. Design for High Performance, Low Power, and Reliable 3D Integrated Circuits. New York, NY: Springer New York, 2013.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
17

Ketone power: Superfuel for optimal mental health and ultimate physical performance. [Place of publication not identified]: [Createspace], 2014.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
18

Hsu, Chun-Wei. Challenges and Solutions for High Performance Analog Circuits with Robust Operation in Low Power Digital CMOS. [New York, N.Y.?]: [publisher not identified], 2015.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
19

Labarta, Jesus. High-Performance Computing: 6th International Symposium, ISHPC 2005, Nara, Japan, September 7-9, 2005 and First International Workshop on Advanced Low Power Systems, ALPS 2006, Revised Selected Papers. Dordrecht: Springer, 2008.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
20

Jesús, Labarta, Joe Kazuki, Sato Toshinori, and International Workshop on Advanced Low Power Systems (1st : 2006 : Cairns, Qld.), eds. High-performance computing: 6th international symposium, ISHPC 2005, Nara, Japan, September 7-9, 2005 and First International Workshop on Advanced Low Power Systems, ALPS 2006 : revised selected papers. Berlin: Springer, 2008.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
21

Beam, Mike J. Marine hydrokinetic turbine power-take-off design for optimal performance and low impact on cost-of-energy: Preprint. [Golden, Colo.]: National Renewable Energy Laboratory, 2012.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
22

United States. National Aeronautics and Space Administration., ed. Performance of low-power pulsed arcjets: Grant no. NASA NAG3-1360 for the period 3/25/93 - 3/24/95 : final report. [Washington, DC: National Aeronautics and Space Administration, 1995.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
23

United States. National Aeronautics and Space Administration., ed. Performance of low-power pulsed arcjets: Grant no. NASA NAG3-1360 for the period 3/25/93 - 3/24/95 : final report. [Washington, DC: National Aeronautics and Space Administration, 1995.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
24

United States. National Aeronautics and Space Administration., ed. Performance of low-power pulsed arcjets: Grant no. NASA NAG3-1360 for the period 3/25/93 - 3/24/95 : final report. [Washington, DC: National Aeronautics and Space Administration, 1995.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
25

Yoo, Hoi-Jun. Low-power NoC for high-performace SoC design. Boca Raton, Fl: Taylor & Francis, 2008.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
26

New Zealand. Ministry of Commerce., ed. Transpower performance, 1996-97. [Wellington, N.Z.]: Energy Markets Regulation Unit, Energy Information and Services Group, Resources Networks Branch (i.e. Resources and Networks Branch), 1998.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
27

Discovering the police: ...an excursion into police personality, powers, performance and prudence. 2nd ed. Ibadan, Nigeria: Kraft Books Limited, 2016.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
28

Routledge handbook on the European Union and international institutions: Performance, policy, power. New York: Routledge, 2012.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
29

Yoo, Hoi-Jun, Kangmin Lee, and Jun Kyoung Kim. Low-Power NoC for High-Performance SoC Design. CRC Press, 2008. http://dx.doi.org/10.1201/9781420051735.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
30

Korec, Jacek. Low Voltage Power MOSFETs: Design, Performance and Applications. Springer, 2011.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
31

Yoo, Hoi-Jun, Kangmin Lee, and Jun Kyong Kim. Low-Power NoC for High-Performance SoC Design. Taylor & Francis Group, 2018.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
32

Yoo, Hoi-Jun, Kangmin Lee, and Jun Kyong Kim. Low-Power Noc for High-Performance Soc Design. Taylor & Francis Group, 2008.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
33

Yoo, Hoi-Jun, Kangmin Lee, and Jun Kyong Kim. Low-Power NoC for High-Performance SoC Design. Taylor & Francis Group, 2018.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
34

Yoo, Hoi-Jun, Kangmin Lee, and Jun Kyong Kim. Low-Power NoC for High-Performance SoC Design. Taylor & Francis Group, 2018.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
35

ReEngineered Economy - Low Power Banks, High Performance Consumers. Lulu Press, Inc., 2013.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
36

Structured Electronic Design of High-Performance Low-Voltage Low Power References. Delft Univ Pr, 1997.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
37

Deleonibus, Simon, ed. Emerging Devices for Low-Power and High-Performance Nanosystems. Jenny Stanford Publishing, 2018. http://dx.doi.org/10.1201/9780429458736.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
38

Low, Tim D. Low power, high performance pseudo-static D flip-flop. 1999.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
39

Oklobdzija, Vojin G., Vladimir M. Stojanovic, Dejan M. Markovic, and Nikola M. Nedovic. Digital System Clocking: High-Performance and Low-Power Aspects. Wiley-IEEE Press, 2003.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
40

Emerging Devices for Low-Power and High-performance Nanosystems. Taylor & Francis Group, 2018.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
41

Oklobdzija, Vojin G., Vladimir M. Stojanovic, Dejan M. Markovic, and Nikola M. Nedovic. Digital System Clocking: High-Performance and Low-Power Aspects. Wiley & Sons, Incorporated, John, 2008.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
42

Performance of a low-power subsonic-arc-attachment arcjet thruster. [Washington, D.C.]: NASA, 1993.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
43

Evaluation of low power hall thruster propulsion. [Washington, DC]: National Aeronautics and Space Administration, 1996.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
44

Zhao, Feng, and Fa Foster Dai. Low-Noise Low-Power Design for Phase-Locked Loops: Multi-Phase High-Performance Oscillators. Springer, 2016.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
45

Zhao, Feng, and Fa Foster Dai. Low-Noise Low-Power Design for Phase-Locked Loops: Multi-Phase High-Performance Oscillators. Springer, 2014.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
46

Zhao, Feng, and Fa Foster Dai. Low-Noise Low-Power Design for Phase-Locked Loops: Multi-Phase High-Performance Oscillators. Springer, 2014.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
47

Low temperature performance of high power density DC/DC converter modules. [Cleveland, Ohio]: National Aeronautics and Space Administration, Glenn Research Center, 2001.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
48

Ho, Ron, and Robert Drost. Coupled Data Communication Techniques for High-Performance and Low-Power Computing. Springer, 2012.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
49

Shah, Parag Shantu. Low-power high-performance 32-bit 0.5[u]m CMOS adder. 1998.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
50

Lim, Sung Kyu. Design for High Performance, Low Power, and Reliable 3D Integrated Circuits. Springer, 2014.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Ми пропонуємо знижки на всі преміум-плани для авторів, чиї праці увійшли до тематичних добірок літератури. Зв'яжіться з нами, щоб отримати унікальний промокод!

До бібліографії