Дисертації з теми "Dispositifs CMOS et integration"

Щоб переглянути інші типи публікацій з цієї теми, перейдіть за посиланням: Dispositifs CMOS et integration.

Оформте джерело за APA, MLA, Chicago, Harvard та іншими стилями

Оберіть тип джерела:

Ознайомтеся з топ-50 дисертацій для дослідження на тему "Dispositifs CMOS et integration".

Біля кожної праці в переліку літератури доступна кнопка «Додати до бібліографії». Скористайтеся нею – і ми автоматично оформимо бібліографічне посилання на обрану працю в потрібному вам стилі цитування: APA, MLA, «Гарвард», «Чикаго», «Ванкувер» тощо.

Також ви можете завантажити повний текст наукової публікації у форматі «.pdf» та прочитати онлайн анотацію до роботи, якщо відповідні параметри наявні в метаданих.

Переглядайте дисертації для різних дисциплін та оформлюйте правильно вашу бібліографію.

1

Dubreuil, Théophile. "Architecture 3D 1T1R innovante à base de RRAMs pour le calcul hyperdimensionnel." Electronic Thesis or Diss., Université Grenoble Alpes, 2023. http://www.theses.fr/2023GRALT085.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Dans les prochaines années, en raison des besoins toujours plus grands des applications d'apprentissage machine dans le domaine de l'intelligence artificielle, une augmentation soutenue de la capacité de calcul est nécessaire pour faire face à un véritable "déluge de données". Pour relever ce défi, les architectures de calcul immergé en mémoire (IMC) à haute performance nécessitent le développement de nouvelles technologies adaptées à la fois au calcul local et au stockage. Dans ce contexte, ce travail de thèse présente de nouvelles matrices mémoire 3D 1T1R qui sont dérivées des transistors à nanofeuillés empilés. Cette nouvelle technologie est associée au calcul hyperdimensionnel (HDC), un paradigme inspiré du cerveau qui est à la fois résistant à l’erreur et facilement parallélisable. Tout d’abord, nous montrons que l’IMC peut largement bénéficier des architectures 3D basées sur les mémoires non volatiles (NVM) pour augmenter la densité et les performances de calcul. Toutefois, les difficultés de fabrication et les résistances et capacités parasites inhérentes aux structures 3D limitent parfois considérablement les performances de ces architectures pour l’IMC. Grâce à la technologie 3D 1T1R proposée dans ce travail, qui combine des nanofeuillés empilés comportant des grilles indépendantes avec une RRAM insérée dans le drain des transistors, nous montrons qu’il est possible de s’affranchir, en partie, de ces problèmes. Nous présentons, fabriquons et caractérisons électriquement plusieurs modules technologiques essentiels à la fabrication de structures 1T1R 3D. Nous démontrons également la fonctionnalité de cellules mémoires 1T1R pour lesquelles le point RRAM est intégré dans le drain de différents types de sélecteurs avec une électrode inférieure faite de Si dopé. Enfin, nous proposons d’implémenter l’algorithme HDC en mémoire pour tirer profit de notre structure 3D 1T1R. Différentes implémentations sont explorées et leurs performances sont évaluées à l’aide de simulations SPICE. Nous montrons également à l'aide de simulations logicielles que la classification de langages et la reconnaissance de gestes, basées sur le calcul hyperdimensionnel, peuvent être implémentées à l’aide de notre structure 3D 1T1R de façon réaliste
In the years to come, due to the insatiable need for data-intensive machine learning applications, a drastic expansion of computing power is required to confront a veritable “data deluge”. To meet this challenge, high-performance In-Memory-Computing (IMC) architectures require the development of novel storage devices that are also suited for local computations. In this context, this thesis work presents a novel 3D 1T1R memory array derived from vertically stacked-nanosheet technology, which is used for Hyperdimensional Computing (HDC), an error-resilient and highly parallel brain-inspired computing paradigm. Firstly, the IMC paradigm can greatly benefit from novel 3D non-volatile memory (NVM) architectures which increase the density and the computing performances. However, the fabrication challenges and parasitics can greatly limit the potential benefits of these architectures. With the 3D 1T1R technology, made by coupling new disruptive gate-independent stacked-nanosheets with drain-based RRAM cells, we show that some of these issues can be overcome, thus leading to high-density 3D NVM arrays. We demonstrate various technological modules necessary for the fabrication of 3D 1T1R structures. Devices are fabricated and electrically characterized for both storage and computing applications. In particular, functional MEOL drain-based RRAM cells are demonstrated with a doped-Si bottom electrode for various types of selector technologies. Finally, we propose a full-IMC architecture of HDC to take advantage of the 3D 1T1R structure. Different hardware implementations are proposed and compared with SPICE simulations. We also show with software-based simulations that language and gesture recognition can be realistically performed with our 3D 1T1R implementation
2

Charbonneau, Micaël. "Etude et développement de points mémoires résistifs polymères pour les architectures Cross-Bar." Thesis, Grenoble, 2012. http://www.theses.fr/2012GRENT116/document.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Ces dix dernières années, les technologies de stockage non-volatile Flash ont joué un rôle majeur dans le développement des appareils électroniques mobiles et multimedia (MP3, Smartphone, clés USB, ordinateurs ultraportables…). Afin d’améliorer davantage les performances, augmenter les capacités et diminuer les coûts de fabrication, de nouvelles solutions technologiques sont aujourd’hui étudiées pour pouvoir compléter ou remplacer la technologie Flash. Citées par l’ITRS, les mémoires résistives polymères présentent des caractéristiques très prometteuses : procédés de fabrication à faible coût et possibilité d’intégration haute densité au dessus des niveaux d’interconnexions CMOS ou sur substrat souple. Ce travail de thèse a été consacré au développement et à l'étude des mémoires résistifs organiques à base de polymère de poly-méthyl-méthacrylate (PMMA) et de molécules de fullerènes (C60). Trois axes de recherche ont été menés en parallèle: le développement et la caractérisation physico-chimique de matériaux composites, l’intégration du matériau organique dans des structures de test spécifiques et la caractérisation détaillée du fonctionnement électrique des dispositifs et des performances mémoires
Over the past decade, non-volatile Flash storage technologies have played a major role in the development of mobile electronics and multimedia (MP3, Smartphone, USB, ultraportable computers ...). To further enhance performances, increase the capacity and reduce manufacturing costs, new technological solutions are now studied to provide complementary solutions or replace Flash technology. Cited by ITRS, the polymer resistive memories present very promising characteristics: low cost processing and ability for integration at high densities above CMOS interconnections or on flexible substrate. This PhD specifically focused on the development and study of composite material made of Poly-Methyl-Methacrylate (PMMA) polymer resist doped with C60 fullerene molecules. Studies were carried out on three different axes in parallel: Composite materials development & characterization, integration of the organic material in specific test structure and advanced devices and finally detailed electrical characterization of memory cells and performances analysis
3

Cassé, Mikaël. "Caractérisation Électrique et Modélisation du Transport dans les Dispositifs CMOS Avancés." Habilitation à diriger des recherches, Université de Grenoble, 2014. http://tel.archives-ouvertes.fr/tel-00974652.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
La micro-électronique est considérée comme une technologie révolutionnaire compte-tenu de la dynamique qu'elle a insufflée à l'économie mondiale depuis l'invention du circuit intégré dans les années 50. Jusqu'à récemment, les défis technologiques relevés ont consisté à conserver une ligne directrice de développement fondée sur une simple réduction des dimensions du transistor MOS, faisant basculer la micro-électronique dans l'ère de la nanoélectronique. Industriels et chercheurs tentent aujourd'hui de repousser les limites physiques imposées par la réduction d'échelle en agissant sur différents leviers technologiques, afin d'améliorer les performances des dispositifs sans avoir à en réduire les dimensions. Les travaux présentés résument mon activité de recherche menée au CEA-Léti depuis 2001, dans le contexte général du développement des technologies CMOS pour les noeuds avancés (i.e. le 65nm pour le début des années 2000, le 14nm et en deçà à l'heure actuelle), avec un focus plus particuliers sur l'étude du transport dans le canal des transistors MOS. Trois voies principales ont été explorées, et seront analysées et commentées : * les nouveaux matériaux de grille, avec l'introduction des oxydes à forte permittivité (high-κ) et des grilles métalliques. * l'ingénierie de la mobilité, avec entre autres l'utilisation de matériaux à plus forte mobilité comme les alliages SiGe, ou encore l'exploitation des contraintes. * les nouvelles architectures de transistor, avec la réalisation de films minces et de transistors multi-grilles ou à grille enrobante.
4

Lee, Sang Bruno. "Développement de procédés technologiques pour une intégration 3D monolithique de dispositifs nanoélectroniques sur CMOS." Thèse, Université de Sherbrooke, 2016. http://hdl.handle.net/11143/8955.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Résumé : Le transistor monoélectronique (SET) est un dispositif nanoélectronique très attractif à cause de son ultra-basse consommation d’énergie et sa forte densité d’intégration, mais il n’a pas les capacités suffisantes pour pouvoir remplacer complètement la technologie CMOS. Cependant, la combinaison de la technologie SET avec celle du CMOS est une voie intéressante puisqu’elle permet de profiter des forces de chacune, afin d’obtenir des circuits avec des fonctionnalités additionnelles et uniques. Cette thèse porte sur l’intégration 3D monolithique de nanodispositifs dans le back-end-of-line (BEOL) d’une puce CMOS. Cette approche permet d’obtenir des circuits hybrides et de donner une valeur ajoutée aux puces CMOS actuelles sans altérer le procédé de fabrication du niveau des transistors MOS. L’étude se base sur le procédé nanodamascène classique développé à l’UdeS qui a permis la fabrication de dispositifs nanoélectroniques sur un substrat de SiO2. Ce document présente les travaux réalisés sur l’optimisation du procédé de fabrication nanodamascène, afin de le rendre compatible avec le BEOL de circuits CMOS. Des procédés de gravure plasma adaptés à la fabrication de nanostructures métalliques et diélectriques sont ainsi développés. Le nouveau procédé nanodamascène inverse a permis de fabriquer des jonctions MIM et des SET métalliques sur une couche de SiO2. Les caractérisations électriques de MIM et de SET formés avec des jonctions TiN/Al2O3 ont permis de démontrer la présence de pièges dans les jonctions et la fonctionnalité d’un SET à basse température (1,5 K). Le transfert de ce procédé sur CMOS et le procédé d’interconnexions verticales sont aussi développés par la suite. Finalement, un circuit 3D composé d’un nanofil de titane connecté verticalement à un transistor MOS est réalisé et caractérisé avec succès. Les résultats obtenus lors de cette thèse permettent de valider la possibilité de co-intégrer verticalement des dispositifs nanoélectroniques avec une technologie CMOS, en utilisant un procédé de fabrication compatible.
Abstract : The single electron transistor (SET) is a nanoelectronic device very attractive due to its ultra-low power consumption and its high integration density, but he is not capable of completely replace CMOS technology. Nevertheless, the hybridization of these two technologies is an interesting approach since it combines the advantages of both technologies, in order to obtain circuits with new and unique functionalities. This thesis deals with the 3D monolithic integration of nanodevices in the back-end-ofline (BEOL) of a CMOS chip. This approach gives the opportunity to build hybrid circuits and to add value to CMOS chips without fundamentally changing the process fabrication of MOS transistors. This study is based on the nanodamascene process developed at UdeS, which is used to fabricate nanoelectronic devices on a SiO2 layer. This document presents the work done on the nanodamascene process optimization, in order to make it compatible with the BEOL of CMOS circuits. The development of plasma etching processes has been required to fabricate metallic and dielectric nanostructures useful to the fabrication of nanodevices. MIM junctions and metallic SET have been fabricated with the new reverse nanodamascene process on a SiO2 substrate. Electrical characterizations of MIM devices and SET formed with TiN/Al2O3 junctions have shown trap sites in the dielectric and a functional SET at low temperature (1.5 K). The transfer process on CMOS substrate and the vertical interconnection process have also been developed. Finally, a 3D circuit consisting of a titanium nanowire connected to a MOS transistor is fabricated and is functional. The results obtained during this thesis prove that the co-integration of nanoelectronic devices in the BEOL of a CMOS chip is possible, using a compatible process.
5

Lim, Tek Fouy. "Dispositifs de protection contre les décharges électrostatiques pour les applications radio fréquences et millimétriques." Thesis, Grenoble, 2013. http://www.theses.fr/2013GRENT033/document.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Ces travaux s'inscrivent dans un contexte où les contraintes vis-à-vis des décharges électrostatiques sont de plus en plus fortes, les circuits de protection sont un problème récurrent pour les circuits fonctionnant à hautes fréquences. La capacité parasite des composants de protection limite fortement la transmission du signal et peut perturber fortement le fonctionnement normal d'un circuit. Les travaux présentés dans ce mémoire font suite à une volonté de fournir aux concepteurs de circuits fonctionnant aux fréquences millimétriques un circuit de protection robuste présentant de faibles pertes en transmission, avec des dimensions très petites et fonctionnant sur une très large bande de fréquences, allant du courant continu à 100 GHz. Pour cela, une étude approfondie des lignes de transmission et des composants de protection a été réalisée à l'aide de simulations électromagnétiques et de circuits. Placés et fragmentées le long de ces lignes de transmission, les composants de protection ont été optimisés afin de perturber le moins possible la transmission du signal, tout en gardant une forte robustesse face aux décharges électrostatiques. Cette stratégie de protection a été réalisée et validée en technologies CMOS avancées par des mesures fréquentielles, électriques et de courant de fuite
Advanced CMOS technologies provide an easier way to realize radio-frequency integrated circuits (RFICs). However, the lithography dimension shrink make electrostatic discharges (ESD) issues become more significant. Specific ESD protection devices are embedded in RFICs to avoid any damage. Unfortunately, ESD protections parasitic capacitance limits the operating bandwidth of RFICs. ESD protection size dimensions are also an issue for the protection of RFICs, in order to avoid a significant increase in production costs. This work focuses on a broadband ESD solution (DC-100 GHz) able to be implemented in an I/O pad to protect RFICs in advanced CMOS technologies. Thanks to the signal transmission properties of coplanar / microstrip lines, a broadband ESD solution is achieved by implementing ESD components under a transmission line. The silicon proved structure is broadband; it can be used in any RF circuits and fulfill ESD target. The physical dimensions also enable easy on-chip integration
6

Le, Goulven Katell. "Dispositifs institutionnels et integration des marches la commercialisation du porc au vietnam." Montpellier, ENSA, 2000. http://www.theses.fr/2000ENSA0012.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
L'objet de la these est d'analyser le fonctionnement d'un marche agricole -celui du porc- dans un pays en transition -le vietnam. Dans le cadre de la nouvelle economie institutionnelle et en partant de l'hypothese qu'il n'existe pas une forme universelle de marche, nous montrons que la diversite des marches est expliquee par la nature des arrangements institutionnels qui structurent les echanges et par les regles sociales qui supportent la mise en application de ces arrangements. Nous developpons d'abord une grille de lecture des niveaux institutionnels dans lesquels s'inscrivent les transactions. Ensuite nous mettons en evidence l'existence de deux marches dont les logiques de formation et de transmission des prix sont differentes. Finalement nous demontrons que ces differences resultent de structures institutionnelles specifiques. Les travaux conduisent a des resultats parfois paradoxaux. Au nord vietnam, le plus longtemps soumis a la collectivisation, les transactions marchandes sont structurees par des institutions informelles privees. Cette organisation entrainent des phenomenes collusifs et de concentration qui affectent la formation concurrentielle des prix et les incitations a la production. Au sud du pays, les institutions publiques legales ont un role central dans la coordination economique. Les mecanismes de fixation des prix y sont plus concurrentiels et la production mieux stimulee. Nous montrons que ces differences, heritees de l'histoire, correspondent a des ancrages des marches dans des valeurs morales particulieres. L'apport theorique de la these est de proposer le couplage d'outils dont l'un est exhaustif mais descriptif - la modelisation des prix - et l'autre est analytique mais monographique - l'analyse de donnees d'enquetes - dans un meme cadre d'analyse. L'apport empirique consiste en la production de donnees de terrain detaillees, collectees a tous les niveaux de la filiere porc au cours de trois sejours sur le terrain dans neuf provinces du pays.
7

Maggioni, Mezzomo Cécilia. "Caractérisation et modélisation des fluctuations aléatoires des paramètres électriques des dispositifs en technologies CMOS avancées." Phd thesis, Université de Grenoble, 2011. http://tel.archives-ouvertes.fr/tel-00987632.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Ce travail porte sur la caractérisation et la modélisation des fluctuations aléatoires des paramètres électriques des transistors MOS avancées. La structure de test utilisée est validée expérimentalement au moyen de la méthode de mesure de Kelvin. Pour comprendre le comportement des fluctuations, un modèle est d'abord proposé pour le régime linéaire. Il permet de modéliser les fluctuations de la tension de seuil des transistors avec implants de poche pour toutes les longueurs de transistor et aussi pour toute la gamme de tension de grille. Ensuite, l'appariement du courant de drain est caractérisé et modélisé en fonction de la tension de drain. Pour modéliser les caractéristiques réelles de transistors sans implants de poche, il est nécessaire de considérer la corrélation des fluctuations de la tension de seuil et celles de la mobilité. De plus, des caractérisations sur des transistors avec implants de poche montrent un nouveau comportement de l'appariement du courant de drain. Des caractérisations ont aussi été menées pour analyser l'impact des fluctuations de la rugosité de grille.
8

Maggioni, Mezzomo Cecilia. "Caractérisation et modélisation des fluctuations aléatoires des paramètres électriques des dispositifs en technologies CMOS avancées." Thesis, Grenoble, 2011. http://www.theses.fr/2011GRENT044/document.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Ce travail porte sur la caractérisation et la modélisation des fluctuations aléatoires des paramètres électriques des transistors MOS avancées. La structure de test utilisée est validée expérimentalement au moyen de la méthode de mesure de Kelvin. Pour comprendre le comportement des fluctuations, un modèle est d’abord proposé pour le régime linéaire. Il permet de modéliser les fluctuations de la tension de seuil des transistors avec implants de poche pour toutes les longueurs de transistor et aussi pour toute la gamme de tension de grille. Ensuite, l’appariement du courant de drain est caractérisé et modélisé en fonction de la tension de drain. Pour modéliser les caractéristiques réelles de transistors sans implants de poche, il est nécessaire de considérer la corrélation des fluctuations de la tension de seuil et celles de la mobilité. De plus, des caractérisations sur des transistors avec implants de poche montrent un nouveau comportement de l’appariement du courant de drain. Des caractérisations ont aussi été menées pour analyser l’impact des fluctuations de la rugosité de grille
This research characterizes and models the mismatch of electrical parameters in advanced MOS transistors. All characterizations are made through a test structure, which is experimentally validated using a structure based on Kelvin method. A model, valid in the linear region, is proposed. It is used for modeling the threshold voltage fluctuations of the transistors with pocket-implants, for any transistor length and gate voltage. It gives a deep understanding of the mismatch, especially for devices with non-uniform channel. Another study analyzes the mismatch of the drain current by characterizing and modeling in terms of the drain voltage. A second model is then proposed for transistors without pocket-implants. In order to apply this model, the correlation of threshold voltage fluctuations and mobility fluctuations must be considered. Characterizations are also performed on transistors with pocket-implants, showing a new drain current mismatch behavior for long transistors. Finally, characterizations are made to analyze the impact of gate roughness fluctuations on mismatch
9

Hossri, Nabil al. "Etude du phénomène métastable dans les dispositifs bistables de technologie CMOS modélisation, caractérisation et simulation." Grenoble 2 : ANRT, 1986. http://catalogue.bnf.fr/ark:/12148/cb37598345s.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
10

Hossri, Nabil al. "Etude du phénomène métastable dans les dispositifs bistables de technologie CMOS : modélisation, caractérisation et simulation." Bordeaux 1, 1986. http://www.theses.fr/1986BOR10868.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Elaboration de modeles reels des elements logiques cmos a partir desquels on parvient a une formulation complete du fonctionnement des bistables elementaires en regime metastable. Expression theorique de la courbe d'incertitude en fonction des parametres technologiques et extrinseques du dispositif etudie. On en deduit les regles d'optimisation des performances de ces circuits vis a vis des declenchements marginaux
11

Hossri, Nabil al. "Etude du phénomène métastable dans les dispositifs bistables de technologie CMOS : modélisation, caractérisation et simulation." Bordeaux 1, 1986. http://www.theses.fr/1986BOR10678.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Elaboration de modeles reels des elements logiques cmos a partir desquels on parvient a une formulation complete du fonctionnement des bistables elementaires en regime metastable. Expression theorique de la courbe d'incertitude en fonction des parametres technologiques et extrinseques du dispositif etudie. On en deduit les regles d'optimisation des performances de ces circuits vis a vis des declenchements marginaux
12

Labalette, Marina. "Intégration 3D de dispositifs mémoires résistives complémentaires dans le back end of line du CMOS." Thesis, Lyon, 2018. http://www.theses.fr/2018LYSEI037/document.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
La gestion, la manipulation et le stockage de données sont aujourd’hui de réels challenges. Pour supporter cette réalité, le besoin de technologies mémoires plus efficaces, moins énergivores, moins coûteuses à fabriquer et plus denses que les technologies actuelles s’intensifie. Parmi les technologies mémoires émergentes se trouve la technologie mémoire résistive, dans laquelle l’information est stockée sous forme de résistance électrique au sein d’une couche d’oxyde entre deux électrodes conductrices. Le plus gros frein à l’émergence de tels dispositifs mémoires résistives en matrices passives à deux terminaux est l’existence d’importants courants de fuites (ou sneak paths) venant perturber l’adressage individuel de chaque point de la matrice. Les dispositifs complementary resistive switching (CRS), consistant en deux dispositifs OxRRAM agencés dos à dos, constituent une solution performante à ces courants de fuites et sont facilement intégrables dans le back-end-of-line (BEOL) de la technologie CMOS. Cette thèse a permis d’apporter la preuve de concept de la fabrication et de l’intégration de dispositifs CRS de façon 3D monolithique dans le BEOL du CMOS
In our digital era, management, manipulation and data storage are real challenges. To support this reality the need for more efficient, less energy and money consuming memory technologies is drastically increasing. Among those emerging memory technologies we find the oxide resistive memory technology (OxRRAM), where the information is stored as the electrical resistance of a switching oxide in sandwich between two metallic electrodes. Resistive memories are really interested if used inside passive memory matrix. However the main drawback of this architecture remains related to sneak path currents occurring when addressing any point in the passive matrix. To face this problem complementary resistive switching devices (CRS), consisting in two OxRRAM back to back, have been proposed as efficient and costless BEOL CMOS compatible solution. This thesis brought the proof of concept of fabrication and 3D monolithic integration of CRS devices in CMOS BEOL
13

Solaro, Yohann. "Conception, fabrication et caractérisation de dispositifs innovants de protection contre les décharges électrostatiques en technologie FDSOI." Thesis, Grenoble, 2014. http://www.theses.fr/2014GRENT098/document.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
L’architecture FDSOI (silicium sur isolant totalement déserté) permet une amélioration significative du comportement électrostatique des transistors MOSFETs pour les technologies avancées et est employée industriellement à partir du noeud 28 nm.L’implémentation de protections contre les décharges électrostatiques (ESD pour« Electro Static Discharge ») dans ces technologies reste un défi. Alors que l’approche standard repose sur l’hybridation du substrat SOI (gravure de l’oxyde enterré : BOX)permettant de fabriquer des dispositifs de puissance verticaux, nous nous intéressons ici à des structures dans lesquelles la conduction s’effectue latéralement, dans le film de silicium. Dans ces travaux, des approches alternatives utilisant des dispositifs innovants(Z²-FET et BBC-T) sont proposées. Leurs caractéristiques statiques, quasi-statiques et transitoires sont étudiées, par le biais de simulations TCAD et de caractérisations électriques
FDSOI architecture (Fully Depleted Silicon On Insulator) allows a significantimprovement of the electrostatic behavior of the MOSFETs transistors for the advancedtechnologies. It is industrially employed from the 28 nm node. However, theimplementation of ESD (Electrostatic Discharges) protections in these technologies isstill a challenge. While the standard approach relies on SOI substrate hybridization (byetching the BOX (buried oxide)), allowing to fabricate vertical power devices, we focushere on structures where the current flows laterally, in the silicon film. In this work,alternative approaches using innovative devices (Z²-FET and BBC-T) are proposed. Theirstatic, quasi-static and transient characteristics are studied in detail, with TCADsimulations and electrical characterizations
14

Laforest, Timothé. "Nouveaux dispositifs intégrés pour l'analyse et le contrôle de lumière cohérente : conception conjointe de circuits opto-électroniques et systèmes optiques." Thesis, Grenoble, 2014. http://www.theses.fr/2014GRENT113/document.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Parmi les techniques d'imagerie optiques utilisées en milieu clinique, la principale limitation est la faible résolution lorsque la profondeur d'examen dépasse quelques mm. Cette limite de résolution ne permet pas à l'heure actuelle de concurrencer les techniques d'imagerie médicales permettant de réaliser un examen du corps dans son intégralité (Rayons X, IRM, Scanner). Dans ce cadre, l'imagerie acousto-optique présente plusieurs avantages: elle permet de mesurer des propriétés optiques utiles pour la détection de tumeur, à la résolution spatiale des ultrasons. Cependant, les dispositifs de détection utilisés présentent un manque de sensibilité et de rapidité qui freinent le transfert de cette technique en milieu clinique.Ce constat nous a conduit à étudier les caractéristiques intrinsèques du signal acousto-optique afin de proposer deux architectures de pixels basées sur des technologies CMOS. La première architecture, totalement analogique, présente des caractéristiques de vitesse d'acquisition compatibles avec le temps de corrélation des milieux biologiques (<1 ms)et un pré-traitement du signal utile. La seconde architecture intègre une fonction de conversion analogique-numérique de manière à simplifier le montage optique, et traiter le signal plus efficacement.Par ailleurs, le contrôle de la phase en plusieurs points du front est essentiel pour refocaliser les signaux lumineux. Pour contourner les limitations de vitesse des dispositifs de contrôle adaptatif de phase de l'état de l'art, nous avons développé un dispositif monolithique constitué de l'empilement physique d'un modulateur de lumière en phase, à cristaux liquides, sur un circuit CMOS constitué d'une matrice de photo-détecteurs et de circuits de traitement afin de permettre le contrôle de front d'onde dès son acquisition. Le dispositif opto-électronique a été proposé et couplé à la première architecture électronique. Il permet de réaliser une opération sur la phase de l'onde lumineuse en chaque pixel (conjugaison de phase par ex.) en parallèle sur les pixels d'une matrice, dans un intervalle de temps inférieur au temps de corrélation des milieux biologiques
Among the optical medical imaging techniques used in medicine, the main limitation is the low resolution at a penetration depth greater than a few mm. This limitation does not allows competing with the standard imaging techniques such as X rays or RMI based imaging. In that scope, the acousto-optical imaging features several advantages: it allows measuring an optical contrast useful to detect tumors, in conjunction with the spatial resolution of ultrasound. However, the state of the art detecting devices feature a lack of sensitivity, which prevent its transfer to medical practitioners.This leads us to study the intrinsic features of the acousto-optical signal in order to propose two CMOS pixel architectures. The first one, fully analog, is compliant with the correlation time of biological tissue (1 ms typ.) and features an analog processing of the relevant signal. The second one is based on a digital pixel which contains an analog to digital converter, allowing simplifying the optical setup and increasing the robustness of the processing.In addition, related to the recent progress in wavefront control, an opto-electronic device, coupled with the first pixel architecture, has been proposed. It allows performing an optical phase operation (e.g. phase conjugation) in parallel on a pixels array, within the correlation time of biological media. Thus, this monolithic device circumvents the speed limitations of state of the art setup by a physical stacking of a liquid crystals spatial light modulator over a CMOS image sensor
15

Athanasiou, Sotirios. "Conception, fabrication et caractérisation de nouveaux dispositifs de FDSOI avancés pour protection contre les décharges électrostatiques." Thesis, Université Grenoble Alpes (ComUE), 2017. http://www.theses.fr/2017GREAT003/document.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Ce sujet de thèse a pour objectif principal la conception de protection contre les décharges électrostatiques (ESD) en technologie silicium avancée sur isolant film mince (FDSOI) avec la compatibilité substrat massif. Ceci suppose une caractérisation ESD des dispositifs élémentaires déjà existants et une conception complète de nouveaux dispositifs sur technologie FDSOI. Ces caractérisations se feront, soit en collaboration avec les équipes de caractérisation ESD présents à STMicroelectronics-Crolles, soit directement par le doctorant grâce au banc de test ESD présent dans le laboratoire pour les développements plus en amont si besoin. La caractérisation fine des mécanismes physiques et des performances des composants sera menée à IMEP qui dispose des équipements adéquats (bancs de mesures en basse et haute température, bruit, pompage de charge, etc) et d’une compétence scientifique incontournable. Il sera ensuite nécessaire d’effectuer des choix de stratégies de protection ESD en fonction des applications et des circuits visés par les équipes de STMicroelectronics. On gardera à l’esprit la notion de fiabilité dès la conception de la protection. Une des stratégies envisagée pour la réalisation de protections ESD compatibles avec des films ultra-minces est l’intégration de ces dispositifs sur substrats hybrides. En effet, il a été démontré chez STMicroelectronics en partenariat avec le LETI qu’il était possible de co-intégrer à partir d’un substrat SOI des dispositifs FDSOI ainsi que des dispositifs bulk. Ceci est rendu possible au moyen d’un réticule supplémentaire qui permet de venir retirer le film de silicium et l’oxyde enterré aux endroits voulus. Ainsi la protection ESD est similaire à celle réalisée sur silicium massif mais avec des implantations compatibles avec des dispositifs à film mince. Les dispositifs sont donc sensiblement différents de ceux réalisés sur bulk et nécessitent une caractérisation approfondie afin de les optimiser au mieux. Une approche ambitieuse vise à concevoir des composants SOI inédits, utilisables pour la protection ESD. Ce volet du travail sera en autre effectué sous la responsabilité de l’IMEP qui a récemment inventé et publié plusieurs types de transistors révolutionnaires : Z2-FET, TFET et BET-FET [12-14].Les études se feront sur des dispositifs silicium sur isolant issus des technologies de fabrication STMicroelectronics. Pour ce faire, il sera nécessaire d’appréhender les techniques de fabrication. Dans ce cadre, une simulation des processus de fabrication est envisagée sous la chaîne d’outil ISE-TCAD en C20nm et technologies futures. Tout d’abord ceci permettra d’embrasser l’ensemble des possibilités inhérentes à la création de nouveaux composants dans la technologie considérée et ensuite cette étude préliminaire fournira des structures de simulation pour les configurations ESD. Parallèlement, les outils TCAD de simulation physique du semi-conducteur à gap indirect type silicium seront mis à profit pour étudier plus précisément le comportement du composant élémentaire de protection ESD. Ces éléments peuvent être par exemple de type : diode, ggNMOS, Tr BIMOS, SCR ou SCR, T2, Beta-matrice, PPP… La synergie avec l’IMEP est essentielle pour l’identification et l’analyse des mécanismes physiques gouvernant le fonctionnement des dispositifs. Notamment, l’objectif principal est d’intégrer la protection ESD dans son application finale et d’évaluer son efficacité et son dimensionnement par l’intermédiaire de paramètres géométriques par exemple. Il sera également possible de réaliser des simulations mixtes afin de mieux tenir compte des effets 3D de la structure (effet de coins, dépolarisation de substrat) et de connaître l’influence des circuits de déclenchement associés à cette protection. L’optimisation de l’implantation de la protection ESD sera alors envisageable au regard des résultats de simulation. On se place ici dans le cadre d’une démarche de Co-Design de protection ESD
"The thesis main objective is the design of protection againstelectrostatic discharge (ESD), for deep submicron (DSM)state-of-the-art fully depleted silicon-on-insulator technology (FDSOI).This requires the ESD characterization of existing elementary devicesand design of new FDSOI devices. The detailed characterization of thephysical mechanisms and device performance will be conducted at IMEPwhich has adequate facilities and scientific competence in this field.It will then be necessary to make choices for ESD protectionstrategies based on circuit applications by STMicroelectronics. Anambitious approach aims to develop novel SOI components used for ESDprotection. This part of the work will be performed under theresponsibility of IMEP as it has has recently invented and publishedseveral types of revolutionary transistors Z 2-FET, TFET andBET-FET. It will be necessary to understand the fabrication processtechnology of STMicroelectronics. In this framework, 3D simulation ofthe technology will be performed on TCAD software for 28nm FDSOI andfuture technologies. Physical simulation, with TCAD tools of thesemiconductor will be used to study more precisely the behavior of theelementary devices of ESD protection. Collaboration with the IMEP isessential for the identification and analysis of the physicalmechanisms governing device operation.In particular, the main objective is to integrate ESD protection andevaluate its effectiveness and design. It will also be possible toperform mixed-mode simulation to better analyse the effects of the 3Dstructure (corner effects, depolarization of substrate) and evaluatethe influence of trigger circuits associated with this protection.Optimizing the implementation of ESD protection will then be possible.Having studied from a theoretical point of view and numericalsimulation, ESD protection cells and trigger circuits associated withthe ESD protection strategy, qualification on silicon will be applied.This will be done by a test vehicle in the chosen SOI technology, andelectrical characterization of the structures and protection networkswill follow. Finally, the ESD performance will be analyzed to provideoptimization of the design and the choice of ESD protection strategybased on targeted applications."
16

Thirion, Valérie. "Croissance et nitruration à basse pression d'oxydes minces de silicium : caractérisations physiques et électriques pour l'application aux dispositifs CMOS." Université Joseph Fourier (Grenoble), 1994. http://www.theses.fr/1994GRE10052.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Avec la miniaturisation des technologies MOS, la diminution de l'épaisseur de l'oxyde de grille pose de nouvelles difficultés liées au contrôle de l'épaisseur, à la diffusion des impuretés et des dopants et à l'injection de porteurs chauds. Ce travail est consacré à la croissance et à la nitruration à basse pression d'oxyde de grille mince de silicium ; les mécanismes de ces réactions sont étudiés en relation avec les caractéristiques physico-chimiques et électriques des structures et dispositifs MOS. La croissance des oxydes très minces, qualifiée d'anormalement rapide, est décrite en faisant intervenir l'effet d'un champ électrique dans l'oxyde. Les cinétiques d'oxydation relevées dans le régime initial sont bien décrites par un modèle combinant la participation d'un flux d'espèces oxydantes diffusant sous l'effet du champ électrique et d'un flux soumis uniquement à la diffusion thermique. L'étude de la nitruration montre que l'utilisation de la basse pression de NH3 permet une incorporation spécifique d'azote, essentiellement localisée aux interfaces et très faible dans le volume de l'oxyde. Les faibles densités de charges fixes et l'absence de création d'état d'interface consécutives à ce procédé de nitruration permettent de ne pas dégrader la mobilité des porteurs et la tension de seuil des transistors NMOS et PMOS. Le faible piégeage caracterisé par injection fowler-nordheim est expliqué par les faibles niveaux d'azote et d'hydrogène incorporés dans le volume de SiO2 ; il est amélioré après une étape de réoxydation in-situ à faible pression d'oxygène sec. L'amélioration des propriétés de barrière de diffusion est démontrée sur des structures PMOS à grille P+ dopée en BF2. Un avantage important du procédé de nitruration NH3 basse pression concerne le durcissement de l'interface qui se manifeste par une augmentation de la durée de vie des transistors NMOS. Expérimentalement, ces propriétés électriques sont comparées à celles obtenues selon d'autres procédés de nitruration (plasma et nitruration en N20).
17

Pic, David. "Etude de la fiabilité de l'oxyde SiO2 dans les dispositifs CMOS avancés et les mémoires non-volatiles." Aix-Marseille 1, 2007. http://www.theses.fr/2007AIX11062.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
La fiabilité du diélectrique SiO2 suscite toujours un intérêt majeur pour l'intégration des nouvelles technologies et le développement de méthodes adaptées à l'évaluation de la qualité de l'oxyde lors de la fabrication des produits. L'épaisseur de cette couche n'a cessé de diminuer et est devenue inférieure à 1. 5 nm pour les technologies les plus avancées. L'origine physique du mécanisme responsable du claquage pour cette gamme d'oxyde n'est pas encore totalement élucidée. D'autre part, l'intégration des mémoires EEPROM est confrontée au mécanisme de courant de fuite induit par contrainte électrique qui constitue un souci majeur pour la fiabilité devant garantir la conservation de l'information pendant 10 ans. Le site de STMicroelectronics de Rousset a pour vocation de transférer en production des technologies CMOS de la filière du 90 nm avec des mémoires non-volatiles embarquées. L'un des principaux secteurs de son activité concerne les applications automobiles fonctionnant à 150ºC. Dans ce cadre à la fois fondamental et appliqué, ce mémoire traite la fiabilité des oxydes. Nous avons abordé deux domaines : La fiabilité des oxydes ultra-minces (<3. 5nm) et La fiabilité des oxydes dans les mémoires EEPROM (6-8nm). Nous avons établi plusieurs conclusions concernant le mécanisme de rupture de la liaison Si-H par excitation vibrationnelle permettant d'expliquer le claquage dans les oxydes minces. Les mémoires EEPROM utilisent une gamme d'oxyde très sensible au mécanisme de courant induit par contrainte électrique, communément appelé « SILC ». Elles nécessitent une meilleure compréhension de ce mécanisme pour interpréter et comprendre la perte de charge dans les plans mémoire
The SiO2 dielectric reliability always involves a major interest for the new technologies integration and the development of adapted methods for oxide quality evaluation during product manufacturing. This thickness layer has not stopped to decrease and has become lower than 1. 5 nm for the most advanced technologies. The physical origin of the mechanism responsible of the breakdown for this oxide range is not still completely clarified. On the other hand, the EEPROM memories integration is faced to the mechanism of stress induced leakage current which constitutes a major problem for reliability to guarantee the data conservation during 10 years. The STMicroelectronics Rousset site is in charge of the transfer of 90nm CMOS technologies with embedded non-volatile memories in production. One of the main sector of its activity concerns automotive applications working at 150ºC. In this fundamental and applied context, this manuscript treats the oxide reliability. We have investigated two items: The ultra-thin oxide reliability (<3. 5nm) and the oxide reliability for EEPROM memories (6-8nm). We establish several conclusions concerning Si-H bond breaking mechanism allowing to explain the breakdown for thin oxides. EEPROM memory uses oxide thickness range very sensitive to the SILC mechanism. It requires a better understanding of this mechanism to interpret and understand the charge loss in memory plan. We have characterized SILC in terms of annealing, thermal activation and generation to explain intrinsic and extrinsic cells behavior classically observed in a memory plan
18

Ben, Akkez Imed. "Etudes théorique et expérimentale des performances des dispositifs FD SOI sub 32 nm." Thesis, Grenoble, 2012. http://www.theses.fr/2012GRENT081/document.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
> Ce manuscrit présente une étude théorique et expérimentale effectuée sur des transistors MOSFET d’une> technologie avancée de type FD SOI (complètement déserté silicium sur isolant). Des mesures électriques> combinées avec des modélisations ont été effectuées dans le but d’apporter des explications sur des phénomènes> liés à réductions des dimensions des transistors. Ce travail de thèse donne une réponse partielle de l’impact de ces> aspects sur les paramètres électrique ainsi que les paramètres de transport."
This manuscript presents a theoretical and experimental study carried out on advanced technology the FD SOI MOSFETs (Fully Depleted Silicon On Insulator MOSFET’s). Electrical measurements combined with modeling were performed with an aim of bringing explanations of phenomena related to the dimension reduction in these structures. This work gives an answer of the impact of these aspects on the electrical parameters and on the carriers transport in the channel
19

Ben, akkez Imed. "Etudes théorique et expérimentale des performances des dispositifs FD SOI sub 32 nm." Phd thesis, Université de Grenoble, 2012. http://tel.archives-ouvertes.fr/tel-00870329.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
> Ce manuscrit présente une étude théorique et expérimentale effectuée sur des transistors MOSFET d'une> technologie avancée de type FD SOI (complètement déserté silicium sur isolant). Des mesures électriques> combinées avec des modélisations ont été effectuées dans le but d'apporter des explications sur des phénomènes> liés à réductions des dimensions des transistors. Ce travail de thèse donne une réponse partielle de l'impact de ces> aspects sur les paramètres électrique ainsi que les paramètres de transport."
20

Lim, Tek fouy. "Dispositifs de protection contre les décharges électrostatiques pour les applications radio fréquences et millimétriques." Phd thesis, Université de Grenoble, 2013. http://tel.archives-ouvertes.fr/tel-00947361.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Ces travaux s'inscrivent dans un contexte où les contraintes vis-à-vis des décharges électrostatiques sont de plus en plus fortes, les circuits de protection sont un problème récurrent pour les circuits fonctionnant à hautes fréquences. La capacité parasite des composants de protection limite fortement la transmission du signal et peut perturber fortement le fonctionnement normal d'un circuit. Les travaux présentés dans ce mémoire font suite à une volonté de fournir aux concepteurs de circuits fonctionnant aux fréquences millimétriques un circuit de protection robuste présentant de faibles pertes en transmission, avec des dimensions très petites et fonctionnant sur une très large bande de fréquences, allant du courant continu à 100 GHz. Pour cela, une étude approfondie des lignes de transmission et des composants de protection a été réalisée à l'aide de simulations électromagnétiques et de circuits. Placés et fragmentées le long de ces lignes de transmission, les composants de protection ont été optimisés afin de perturber le moins possible la transmission du signal, tout en gardant une forte robustesse face aux décharges électrostatiques. Cette stratégie de protection a été réalisée et validée en technologies CMOS avancées par des mesures fréquentielles, électriques et de courant de fuite.
21

Gautier, Gaël. "Conception, réalisation et mise au point d'une technologie CMOS en transistors couches minces sur substrat de verre." Rennes 1, 2002. http://www.theses.fr/2002REN10149.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
22

Fruleux, Frédérique. "Conception, élaboration et caractérisation de dispositifs CMOS émergents : une nouvelle approche d'intégration de transistors multi-grille de type FinFet." Lille 1, 2007. https://pepite-depot.univ-lille.fr/RESTREINT/Th_Num/2007/50376-2007-287.pdf.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
L'incroyable croissance des semi-conducteurs a été possible par l'extrême miniaturisation des composants CMOS jusqu'à des dimensions nanométriques. Aujourd'hui pour poursuivre cette évolution, il devient nécessaire d'introduire de nouvelles architectures de composants. Dans ce contexte, ce travail étudie l'une des architectures les plus prometteuses: le transistor CMOS double-grille de type FinFET. Ce travail présente notamment un procédé innovant, le procédé « spacer first », apportant une solution aux défis technologiques majeurs de ce type de composants, à savoir: les problèmes de résidus de gravure de grille, l'apparition d'espaceurs parasites le long des ailettes constituant la zone active du transistor et les résistances séries élevées. Une seconde partie de cette thèse décrit le développement technologique menant à la réalisation des transistors. 1) L'optimisation de la lithographie électronique conduit à la formation de réseaux d'ailettes nanométriques massivement parallèles. 2) Un oxyde de grille de 2 nm est formé, par croissance thermique, de manière conforme sur le pourtour des ailettes. 3) Un procédé de type damascène ingénieux permet l'obtention du module de grille (espaceurs compris) tout en s'affranchissant des problèmes de gravures liés à la topologie du substrat. 4) Des jonctions métalliques, peu résistives, de type Schottky à très faible hauteur de barrière sont intégrées. Finalement une dernière section de ce travail est consacrée à la caractérisation électrique des composants développés et démontre la qualité du travail accompli par l'obtention de performances au niveau de l'état de l'art des transistors à contacts Schottky
The incredible growth of semiconductor industry has been possible thanks to the extreme downscaling of CMOS devices up to nanometric dimensions. However to continue this evolution, it becomes necessary to introduce new device architectures. Ln that context, this study deals with one ( the most promising architecture : the CMOS double gate transistor, called FinFET. Ln particular, it presents an innovative process, named "spacer first", which gives a solution to the major technological challenges of such transistors. A second part of this work is focused on process development. 1) The optimization of the e-beam lithography leads to the realization of nanometric fins densely packed. 2) A 2-nm gate oxide is thermally grown uniformly around silicon fins. 3) An innovative damascene type process enables the realization of gate module (spacers included) without any stringers. 4) Metallic and low Schottky barrier junctions are integrated. Finally, the last section of this work is dedicated to the electrical characterization of the proposed devices and demonstrates the validity of this work thanks to electrical performances at the state of the art of Schottky barrier transistors
23

Foucher, Johann. "Etude et développement de procédés de gravure plasma pour l'élaboration de grilles silicium de dispositifs CMOS sub-20 nm." Université Joseph Fourier (Grenoble), 2003. http://www.theses.fr/2003GRE10031.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
24

Froment, Benoît. "Integration du siliciure de nickel pour les technologies cmos decananométriques : 65nm et en deça." Grenoble INPG, 2010. http://www.theses.fr/2010INPG0063.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Le siliciure de nickel pur ou allié avec du platine est maintenant utilisé comme contacts dans les technologies CMOS car il nécessite un plus faible budget thermique, possède une plus faible résistivité, consomme moins de silicium, a une formation contrôlée par la diffusion et permet d'obtenir une phase peu résistive sur SiGe, contrairement à son prédécesseur le siliciure de cobalt. Malgré ces avantages, un certains nombre de problèmes restaient ou restent liés à son intégration dans des dimensions décananométriques. Au-delà d'une meilleure connaissance du comportement en température et des propriétés du siliciure de nickel en couches très minces, l'objectif de cette thèse est d'améliorer notre connaissance du NiSi, pour l'intégrer sur le nœud technologique 65nm et en deçà, et de caractériser et d'éventuellement résoudre tous les défis relatifs à l'intégration de ce nouveau matériau dans un environnement toujours plus dense. L'ensemble des résultats et des caractérisations réalisées ont permis en particulier de proposer un scénario de formation de l'intrusion du siliciure dans le canal
The nickel silicide, pur or alloyed with Pt is nowadays used as a contact material for CM OS technologies because it requires a lower thermal budget, has a lower resistivity, consume less silicon, has a formation controlled by diffusion and forms a less resistive phase on SiGe, whereas its counterpart CoSb does not. Despite of theses advantages, a large number of troubles remained or remains linked to its integration in decananometric dimensions. Beyond a better knowledge of the NiSi behaviour in temperature and the nickel silicide properties, the goal of the thesis is to improve our knowledge of NiSi to integrate it on the 65nm technological node and beyond, and to characterize and eventually solve ail the challenges related to the integration of this new material in a downscaled environ ment. The results and characterizations obtained allowed us to propose a scenario of the formation of the silicide encroachment into the transistor channel
25

Mitard, Jérôme. "Etude des propriétés électriques d’empilements high-K/grille métal en vue de leur intégration dans les dispositifs CMOS-sub 45 nm." Grenoble INPG, 2006. http://www.theses.fr/2007INPG0042.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Ces travaux de recherche se concentrent autour de la caractérisation électrique des transistors MOS High-K/: métal. Tout d'abord, nous avons abordé successivement la stabilité de l'EOT correspondant à ces empilemen caractérisation 1er niveau des défauts liés à l'oxyde et l'analyse de la conduction. Ensuite, nous nous son intéressés à des défauts électriques spécifiques engendrant un phénomène particulièrement gênant, mieux 0 sous le nom de PB TI. Après la mise en place d'une technique de caractérisation innovante, notre étude m avant différentes catégories de défauts selon qu'ils sont réversibles ou non réversibles. Nous nous sommes en focalisés sur la modélisation des pièges réversibles. Les mécanismes de piégeage/ dépiégeage mis en jeu or identifiés et les caractéristiques physiques des défauts extraites. Enfin, nous avons investigué la vari incontrôlée de la tension de bande plate par le biais d'une expérience de photo-émission interne
This work concerns the study of electrical properties of advanced transistors integrating High-KImetal materials. We addressed in a first part, the basic characterization of these stacks especially EûT thermal star first-level defects characterization and conduction analysis. We are also interested in electrical defects resultin! phenomenon named Positive Bias Temperature Instability (PB TI). To make an intensive investigation of parasitic effect, we have introduced a new time resolved characterization technique evidencing different categ of PBTI defects depending on reversible or irreversible behavior. Afterwards, we focused on the modelir reversible traps. After an accurate identification of charging and discharging mechanisms, a SRH model led extract traps physical properties. Finally, we have investigated another phenomenon resulting in an uncontr flat band voltage with an electrical and optical technique based on InternaI Photo-Emission
26

Gensolen, Fabrice. "ARCHITECTURE ET CONCEPTION DE RETINES CMOS :INTEGRATION DE LA MESURE DU MOUVEMENT GLOBALDANS UN IMAGEUR." Phd thesis, Université Montpellier II - Sciences et Techniques du Languedoc, 2006. http://tel.archives-ouvertes.fr/tel-00119758.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Les capteurs d'images CMOS n'étaient envisagés au début des années 90s que dans le cadre de recherches. La technologie CCD dominait alors. Puis l'évolution extraordinaire des procédés de fabrication de circuits intégrés CMOS a fait qu'aujourd'hui nous avons atteint une égalité en termes de parts du marché. Cette forte croissance est étroitement liée à l'avènement des dispositifs portables grand public tels que les téléphones
mobiles, qui embarquent pour la majorité les fonctions photo ou vidéo. En effet, les contraintes d'intégration et de coût favorisent la technologie CMOS. Cependant la prise de vue à l'aide de ces dispositifs portables, très sujets aux tremblements, nécessite une stabilisation de la vidéo qui implique d'estimer le mouvement global inter images. Aussi, l'objectif de ce travail est d'intégrer cette fonction aux imageurs fabriqués par la société STMicroelectronics.
Pour ce faire, une technique novatrice pour estimer ce mouvement global est présentée dans ce mémoire. Cette méthode consiste à extraire un modèle du mouvement global à partir de mesures de déplacements locaux en périphérie des images. Elle a tout d'abord été validée de
façon algorithmique, avant d'être intégrée sur silicium. L'architecture finale du capteur se caractérise par une zone photosensible partitionnée en une zone centrale et une zone périphérique. La chaîne de traitement du signal comporte quant à elle un traitement au niveau pixel afin de mesurer les mouvements locaux périphériques. Elle comprend aussi un posttraitement dédié aux tâches d'estimation du modèle du mouvement global ainsi qu'à la compensation du mouvement indésiré.
27

Gout, Michel. "Le rapport entre langue et intégration dans les dispositifs linguistiques pour migrants nouveaux arrivants en Allemagne, Belgique, France et Royaume Uni." Thesis, Aix-Marseille, 2015. http://www.theses.fr/2015AIXM3038.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Cette recherche sociolinguistique s’intéresse aux liens entre maitrise / connaissance de la langue du pays d’accueil et intégration. La connaissance de la langue officielle du pays d’immigration est en effet présentée, jusque dans les discours du Conseil de l’Europe, comme étant la clé de l’intégration des migrants et, depuis une dizaine d’année, nous assistons à la mise en place, dans la plupart des pays européens, de dispositifs d’apprentissage obligatoire de la langue d’accueil pour les nouveaux arrivants, censés résoudre les difficultés d’intégration. Mais parler la langue d’un pays est-il suffisant pour s’intégrer dans ce pays ? Cette étude, menée dans quatre grands pays d’immigration européens (Allemagne, Belgique, France et Royaume Uni) aborde deux aspects de la question. Dans une première partie, sont traitées les conceptions institutionnelles de l’intégration par la langue dans ces pays. Cette première analyse, comparative, permet de confronter les quatre systèmes nationaux d’enseignement linguistique aux migrants. La deuxième partie de la thèse s’appuie sur une vaste enquête de terrain et analyse les diverses pratiques didactiques d’enseignement de la langue-culture du pays d’accueil et leur impact sur les processus d’intégration. Après avoir croisé les regards de ces diverses pédagogies et confronté les discours de nombreux acteurs, la thèse avance en conclusion des propositions didactiques pour une formation linguistique intégrative de la langue officielle du pays d’accueil et pour la formation des formateurs
This sociolinguistic research addresses the links between the knowledge of the official language of the host country and the integration. Indeed, the knowledge of the language of the country of immigration is presented, even in the speeches of Council of Europe, as the key of migrants’ integration and we have been facing for about ten years, in all countries, the deployment of compulsory host language learning schemes intended to solve the integration difficulties for the newcomers. Yet, is it sufficient to speak the language of a country to integrate this country?This study carried out in four large countries of immigration in Europe (Germany, Belgium, France and United-Kingdom) deals with two aspects of the question.In the first part, the institutional conceptions of the integration by the language in these countries are examined and the four national linguistic learning schemes for migrants are compared. The second part of the research is based on a vast field study and analyses the various teaching practises of the languages and cultures and their impacts on the integration process. After cross-fertilizing the perspectives of these various educational processes, this thesis suggests, as a conclusion, a few didactic approaches to an integrative host language teaching and for training the teachers
Diese soziolinguistische Studie handelt sich um die Verbindungen zwischen der Kenntnis der Sprache des Gastlandes und der Integration. Die Kenntnis der Sprache des Immigrationslandes ist, eigentlich, bis in den Reden des Europarates, als sei sie der Schlüssel zur Integration der Migranten präsentiert und seit einem Jahrzehnten bemerken wir, in allen Ländern, die Umsetzung von obligatorischen Lehrprogrammen der Aufnahmesprache für Neuankömmlinge, die die Schwierigkeiten zur Integration lösen sollen. Ist es aber genug die Sprache eines Landes anzuwenden, um sich in diesem Land zu integrieren?Diese in vier großen europäischen Immigrationsländern durchgeführte Studie (Deutschland, Belgien, Frankreich und Gross-Britannien) beantwortet zwei Aspekte der Frage.In dem ersten Teil wird der Institutionelle Begriff der durch-die-Aufnahmesprache Integration in diesen Ländern behandelt. Diese erste vergleichende Analyse ermöglicht der vier nationalen für Migranten Sprachbildungssystemen nebeneinander zu setzen.Der zweite Teil der Studie stützt sich auf einer umfangreichen Feldstudie und analysiert die didaktische Praktiken der Sprache und Kultur des Gastlandes, und die Auswirkungen auf dem Integrationsprozess.Nachdem sie die Blickwinkel dieser verschiedenen Lehrmethoden berücksichtigt und die Gedanken von vielen Akteuren aus der Praxis gegenübergestellt hat, schließt sich diese Studie mit didaktischen Vorschlägen für eine integrative Bildung der Sprache des Gastlandes und für die Lehreausbildung ab
28

Save, Didier. "Etude et developpement de technologies d'isolation cmos pour circuits integres ulsi." Toulouse 3, 1988. http://www.theses.fr/1988TOU30011.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
L'isolation des circuits cmos est une des cles de leur miniaturisation extreme. Les technologies d'isolation de l'ulsi devront eliminer les risques de courants de fuite et de "latch up" a la peripherie du caisson, ainsi que les phenomenes perimetriques, dus a l'isolation de champ, qui degradent les performances des petits transistors (tension de seuil, capacite de diffusion). L'isolation dielectrique du caisson par tranchee profonde est choisie ici pour sa compatilibite avec les filieres de fabrication existantes. La principale difficulte de la technique reside dans la gravure parfaitement verticale des tranchees. Le remplacement de l'isolation de champ, par oxydation localisee du silicium (locos), par une technique de depots d'oxyde de silicium nivelles (box) necessite la mise au point d'un procede de "planarisation" de l'oxyde. La mise en place de la filiere technologique et la conception des dispositifs de test sont finalement exposees
29

Ait-Ali, Cédric. "Les contributions des dispositifs hors classe aux apprentissages : le cas des élèves de 4ème et 3ème de l'enseignement agricole." Thesis, Toulouse 2, 2014. http://www.theses.fr/2014TOU20102/document.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Cette thèse cible les dispositifs hors classe dans l’établissement et, plus précisément, leur contribution aux apprentissages des élèves, qu’ils soient scolaires ou psychosociaux. Elle vise à rendre compte de l’organisation hors classe des établissements et à connaître les appropriations spatiales et temporelles des jeunes dans les temps non scolaires et dans les moments informels. Le cadre théorique s’appuie sur le concept de dispositif pour explorer l’apprentissage, dans sa dimension "processus" et dans sa dimension "produit". La modélisation proposée permet de catégoriser et de caractériser les dispositifs hors classe et les dispositifs scolaires dans leur distance au formel. Le travail empirique a été conduit dans le contexte spécifique de l’enseignement agricole, et sur le public particulier des élèves de 4e et 3e, ayant modifié leurs trajectoires éducatives. Une méthode mixte, quantitative et qualitative, a été mobilisée. Elle permet de recueillir à la fois la parole des acteurs éducatifs et des enseignants intervenant hors de la classe et, surtout, celle des apprenants en utilisant des questionnaires, des interviews, des observations, des photographies et des agendas. Elle fait émerger des résultats significatifs dans la contribution différenciée des dispositifs hors classe aux apprentissages, tant au niveau des résultats scolaires que des scores psychosociaux. En prolongeant la remise en cause de la séparation du « dans la classe/hors la classe », par celle du "dans l’établissement/hors de l’établissement", elle pose l’hypothèse d’un curriculum éducatif qui interroge le rôle de l’école dans la société et la place de chaque acteur, notamment du jeune
This thesis target the out of class device in the institution and, to be more exact, call for the pupil’s learning, school or psychosocial learning. It gives an account of the organization out of class and knowing spacio-temporal encroaching for young in the out of class time and in the informal moment. The theoretical framework leans on learning exploration’s concept, in its process-sized and it product-sized.The modeling proposed enable to categorize and characterize the out of class time and the school time in the formal’s distance. The empiric work had been done in farming’s institution and in a public middle school, with 4ème and 3ème’s pupils, who are changed their learning’s ways. A join method, quantitative and qualitative research, was done. It enables to take the feeling of the educational player and the teachers who operate out of class and, what’s more important, the learner. This survey use quiz, interviews, observations, pictures and schedule. It shows us significant results in the differential contribution of the out of class learning device, as much as the school results than the psychosocial hit. In challenging the separation of “in class” and “out of class” by “in school” and “out of school”, it hypothesizes an educational curriculum which ask about the part of school in the society and the part of each player, especially younger, in the new education call the global education
30

Zhang, Yue. "Modélisation compacte et conception de circuit hybride pour les dispositifs spintroniques basés sur la commutation induite par le courant." Phd thesis, Université Paris Sud - Paris XI, 2014. http://tel.archives-ouvertes.fr/tel-01058504.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
La miniaturisation du nœud technologique de CMOS en dessous de 90 nm conduit à une forte consommation statique pour les mémoires et les circuits logiques, due aux courants de fuite de plus en plus importants. La spintronique, une technologie émergente, est d'un grand intérêt pour remédier à ce problème grâce à sa non-volatilité, sa grande vitesse d'accès et son intégration facile avec les procédés CMOS. Comparé à la commutation induite par le champ magnétique, le transfert de spin (STT), une approche de commutation induite par le courant, non seulement simplifie le processus de commutation mais aussi permet un fonctionnement sans précédent en termes de consommation et de vitesse. Cette thèse est consacrée à la modélisation compacte et la conception de circuit hybride pour les dispositifs spintroniques basés sur la commutation induite par le courant. La jonction tunnel magnétique (JTM), élément fondamental de la mémoire magnétique (MRAM), et la mémoire racetrack, nouveau concept fondé sur la propagation des parois de domaine induites par le courant, sont particulièrement étudiés. Ces dispositifs et circuits spintroniques sont basés sur les matériaux à anisotropie magnétique perpendiculaire (AMP) qui ouvrent la perspective d'une miniaturisation submicronique tout en conservant une grande stabilité thermique. De nombreux modèles physiques et paramètres réalistes sont intégrés dans la modélisation compacte pour obtenir une bonne cohérence avec les mesures expérimentales. En utilisant ces modèles compacts précis, certaines applications pour la logique et les mémoires magnétiques, tels que l'additionneur complet magnétique (ACM) et la mémoire adressable par contenu (CAM), sont conçues et simulées. Nous analysons et évaluons leur potentiel de performance en termes de surface, vitesse et consommation d'énergie par rapport aux circuits classiques. Enfin, afin de lutter contre la limitation de capacité entravant la large application, nous proposons deux optimisations de conception : la mémoire multivaluée (MLC) pour la STT-MRAM et l'assistance par champ magnétique pour la mémoire racetrack. Ce concept de MLC utilise le comportement stochastique des STT pour atteindre une haute vitesse tout en augmentant la densité de STT-MRAM. La mémoire racetrack assistée par champ magnétique est fondée sur l'observation d'une propagation des parois de domaine en dessous du courant critique, propagation est attribué à l'effet " Walker breakdown ". Ceci ouvre une nouvelle voie pour réduire le courant de propagation et augmenter la capacité des mémoires racetrack au-delà des améliorations des circuits périphériques et des matériaux.
31

Harrison, Samuel. "Dispositifs GAA [Gate-All-Around] en technologie SON [Silicon-On-Nothing] : conception, caractérisation et modélisation en vue de l'intégration dans les noeuds CMOS avancés." Aix-Marseille 1, 2005. http://www.theses.fr/2005AIX11021.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Depuis près de quarante ans, la densité d'intégration des transistors est doublée tous les deux ans environ, en suivant un rythme infernal dicté par la fameuse " loi de Moore ". Pourtant, cette dernière est aujourd'hui remise en question. En effet, pour la première fois, le transistor MOS " historique " est sur le point de buter sur des barrières physiques, électriques et technologiques quasi-insurmontables. La réduction des dimensions caractéristiques principales du MOS (mais aussi des tensions d'alimentation) s'accompagne de l'apparition d'un grand nombre d'effets parasites (effets canaux courts, fuites de grilles etc. ). Pour poursuivre efficacement la course à la miniaturisation des transistors, nous constatons ainsi l'émergence de toute une famille de dispositifs à films minces (à simple grille, SOI, SON ou à grilles multiples, Double-Grille, FinFET ou GAA, par exemple. . . ), permettant un meilleur contrôle du potentiel électrostatique, tout en conservant des performances électriques équivalentes, voire supérieures à l'architecture conventionnelle. Dans ce travail de thèse, nous proposons l'étude d'un transistor à grille enrobante (ou GAA), réalisé en technologie SON. Nous décrivons les principales étapes de sa réalisation technologique puis nous démontrons électriquement qu'un tel dispositif satisfait pleinement les exigences des prochains noeuds technologiques. Nous démontrons finalement la maturité et la fiabilité, à la fois du procédé SON, mais aussi du procédé PRETCH, grâce à la réalisation d'inverseurs et de SRAMs GAA (grille TiN) fonctionnels. Toute une plateforme technologique est ainsi proposée se basant sur l'aspect 3D de la conception du circuit.
32

Tachi, Kiichi. "Etude physique et technologique d'architectures de transistors MOS à nanofils." Phd thesis, Université de Grenoble, 2011. http://tel.archives-ouvertes.fr/tel-00721968.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Il a été démontré que la structure gate-all-around en nanofils de silicium peut radicalement supprimer les effets de canaux courts. De plus, l'introduction d'espaceurs internes entre ces nanofils peut permettre de contrôler la tension de seuil, à l'aide d'une deuxième grille de contrôle. Ces technologies permettent d'obtenir une consommation électrique extrêmement faible. Dans cette thèse, pour obtenir des opérations à haute vitesse (pour augmenter le courant de drain), la technique de réduction de la résistance source/drain sera débattue. Les propriétés de transport électronique des NWs empilées verticalement seront analysées en détail. De plus, des simulations numériques sont effectuées pour examiner les facultés de contrôle de leur tension de seuil utilisant des grilles sépares.
33

Hernandez, Caroline. "Mise au point, developpement et integration de materiaux a base de si et ge dans les technologies avancees silicium de type cmos, bicmos et alternatives." Orléans, 1999. http://www.theses.fr/1999ORLE2046.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Comme suite a son introduction dans la technologie bipolaire, le materiau sige a ete etudie en vue de son introduction dans les technologies cmos standard (<0,15m) ou alternatives. A l'aide d'une machine cvd mono-plaque industrielle, plusieurs types d'alliages sige ont ete etudies, developpes et testes dans differentes applications. Apres avoir degage les principaux mecanismes intrinseques (parametres de depots) et extrinseques (preparation de surface) qui conduisent a l'obtention de couches mono ou polycristallines de sige ayant des concentrations en ge variant entre 0 et 100%, des empilements plus complexes de type si/sige ont ete developpes. La premiere application a concerne l'obtention de couches de silicium polycristallin dope arsenic et son integration avec la surface sous jacente comme emetteur de transistor bipolaire. Ensuite, une grille duale polycristalline si, sige a ete developpee pour le cmos et utilisee dans des cmos 0,18 m. Puis l'etude s'est focalisee sur l'obtention de substrats relaxes de sige (la concentration de ge pouvant varier entre 0 et 100%). Une application de continuite de type cmos a utilise de tels substrats relaxes sur lesquels une couche contrainte de si a joue le role de couche active pour obtenir une mobilite d'electrons elevee dans des transistors. Une application plus avancee de ces substrats a consiste a utiliser les couches de ge relaxees sur si comme precurseur a l'heteroepitaxie d'asga. Les differents materiaux etudies et developpes se sont inscrits dans une optique industrielle, c'est a dire, en apportant une particuliere attention au couple debit de plaque, cout lors du developpement de procedes. Les differentes etudes materiaux ont ainsi donne lieu a des applications innovantes qui ont montre l'interet des alliages sige a forte concentration de ge.
34

Usai, Giulia. "Conception et Fabrication hybride 3D monolithique de relais NEMS co-integrés CMOS." Thesis, Université Grenoble Alpes (ComUE), 2019. http://www.theses.fr/2019GREAT069.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Ce travail de thèse porte sur les relais nano électromécaniques (NEMS en anglais). Afin de surmonter la limite d’efficacité énergétique inhérente à la technologie CMOS, l’utilisation de relais NEMS pour des circuits logiques a été récemment proposée. En effet, les bénéfices cumulés d’un courant nul à l’état bloqué et d’une commutation abrupte permettent d’améliorer le compromis puissance-performance lorsque la tension d’alimentation VDD est réduite.Cependant, les relais NEMS sont limités intrinsèquement par leur endurance, leur fréquence de fonctionnement ainsi que par leur faible densité d’intégration. De ce fait, une approche complémentaire basée sur la co-intégration entre CMOS et relais (mémoire tampon, éléments non volatiles…) plutôt qu’un remplacement semble plus pertinente à court terme. Une intégration 3D monolithique permet l’ajout de tels éléments sur la même surface tout en bénéficiant d’une précision d’alignement lithographique. De plus, pour certains dispositifs (ex. sans couche diélectrique), une plus grande immunité aux radiations ionisantes est attendue, ouvrant des perspectives dans les domaines des applications militaires ou spatiales.En particulier, ce travail de thèse explore les forces et les faiblesses de ces structures et identifie des applications à fort potentiel. Il comprend en outre la conception de prototypes correspondants, depuis leur modélisation et simulation permettant un dimensionnement fonctionnel, le perfectionnement de l’intégration 3D « NEMS sur CMOS », et enfin leurs tests électriques. A la lumière de ces analyses, des recommandations sont fournies pour le développement de circuits hybrides 3D CMOS/NEMS pour la logique et les mémoires avancés
This manuscript focuses on Nano-Electro-Mechanical (NEM) relays with electrostatic actuation for advanced logic and memory applications. The use of Nano-Electro-Mechanical relays was recently proposed for digital logic circuits in order to overcome the fundamental energy-efficiency limitations that mainstream CMOS technology is currently facing. The cumulated benefits of essentially Zero Off-State current and ultimately abrupt DC switching characteristics enable alleviating the power-performance trade-off as the supply voltage VDD is reduced. Additionally, for some particular switch designs (e.g. free of dielectric layers), an increased resistance to ionizing radiations is also anticipated, making such components valuable for defense or aerospace applications.However, NEM relays have intrinsic limitations in terms of integration density, endurance and operation frequency. Therefore, rather than considering them as technology that could replace MOSFETs, we adopt an intermediate approach that consists in using NEM relays as a complement to CMOS circuits (e.g.: buffers, non-volatile elements for SRAM and CAM), which can be fabricated in a 3D co-integration scheme. This approach mitigates the area penalty issue.The thesis explores the strength and the weakness of NEMS relays and identifies applications for which hybrid NEMS/CMOS circuits are potentially interesting.This work includes the manufacturing of prototype devices designed to be proof of concept for the identified applications. At first, NV NEM relays design and dimensioning through modelling and simulations was performed. Then NV NEM/CMOS circuits were validated trough simulations. This was followed by the tapeout and the process integration of monolithically co-integrated NEMS above CMOS. After wafer processing the devices were electrically characterized.This all-inclusive works allows identifying some crucial challenges that NEMS relays still have to face
35

Philippe, Julien. "Technologie de fabrication et analyse de fonctionnement d'un système multi-physique de détection de masse à base de NEMS co-intégrés CMOS." Thesis, Grenoble, 2014. http://www.theses.fr/2014GRENT099/document.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Ces dernières décennies ont vu l'émergence des microsystèmes électromécaniques (MEMS) grâce notamment aux techniques de fabrication employées dans l'élaboration des transistors. L'utilisation de différentes propriétés physiques (électroniques, mécaniques, optiques par exemple) a permis la construction d'un large panel de capteurs miniaturisés. Résultant de la miniaturisation sub-micrométrique des MEMS, les nanosystèmes électromécaniques (NEMS) constituent un tout nouveau type d'objet permettant d'adresser des applications nécessitant un très haut niveau de sensibilité et de résolution, comme la détection de gaz, la spectrométrie de masse ou la reconnaissance de molécules faisant traditionnellement appel à des machines très volumineuses. L'utilisation de ces NEMS requiert cependant un circuit électronique CMOS afin de lire et d'exploiter le signal en sortie de résonateur et servant également à la mise en place d'une boucle oscillante (boucle à verrouillage de phase ou boucle auto oscillante par exemple), architecture idéale pour la détection de masse en temps réel. L'intégration du circuit CMOS avec les résonateurs NEMS constitue un aspect critique quant à la fabrication de capteurs de haute performance. La solution optimale consiste à intégrer de manière monolithique ces deux parties sur la même puce, permettant ainsi de réduire la dimension du capteur et d'améliorer la transmission du signal électrique entre les résonateurs et le circuit CMOS. Cette thèse propose dans un premier temps d'analyser l'intérêt de cette co-intégration du point de vue électrique. Dans un second temps, cette thèse portera sur le développement d'une approche originale visant à co-intégrer de manière monolithique les nano résonateurs au-dessus du circuit CMOS et des interconnexions. La dernière partie portera sur le design d'un détecteur de masse composé d'un réseau compact de NEMS co-intégré CMOS
During these last decades, Very Large Scale Integration (VLSI) techniques, well developed for transistors, have been used for the Micro ElectroMechanical Systems (MEMS) devices. Thanks to the combination of different physical properties (such as electronic, mechanical, optical etc.) the fabrication of various kinds of miniaturized sensors has been made possible. The sub-µm downscaling of MEMS has allowed the emergence of a new kind of devices called NEMS (for Nano ElectroMechanical Systems) and the possible use of the electromechanical systems in specific applications in which a high level of sensitivity and resolution is necessary, such as gas sensing, mass spectrometry and molecules recognition, to replace traditional bulky machines. Nevertheless, the use of these NEMS requires a CMOS electronic to enhance NEMS resonators readout and to implement closed-loop oscillators (e.g. phase-locked loop or self-oscillating loop) that provide real-time mass measurements. The integration of the electronic circuit with the resonators is a critical aspect for the fabrication of high performance sensors. The best way consists in monolithically processing these two parts on the same die allowing a size reduction of the sensor and an optimal signal transmission between the NEMS resonators and the CMOS circuit. In a first time, this thesis proposes to analyze the interest of this co integration from an electrical point of view. In a second time, this thesis deals with the development of a 3D co integration in which the nano resonators are fabricated above the CMOS circuit and the interconnections. The final part is focused on the layout design considerations for the implementation of a compact mass sensor based on a NEMS array co integrated with a CMOS
36

Tallal, Jamal. "Développement de techniques de fabrication collectives de dispositifs électroniques à nanostructure unique." Phd thesis, Grenoble 1, 2007. http://www.theses.fr/2007GRE10205.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Le développement actuel de la micro/nanoélectronique est basé sur une constante réduction des dimensions caractéristiques des structures fabriquées. Ce constant changement d'échelle va être confronté à terme à de nombreux obstacles à la fois physiques (courant de fuite important, effet quantique perturbateur. . . ) et technologiques (limite de résolution des procédés de fabrication industriels). Pour paliers à ces différents freins, une alternative prometteuse est l'intégration collective d'objets nanométriques dans des architectures électroniques. Dans ce contexte, nous avons tout d'abord développé différents procédés de fabrication collective de structures d'adressage par une technologie alternative haute résolution: la nanoimpression. Ensuite, la manipulation de nano-objets colloïdaux par diélectrophorèse a été étudiée dans le but d'obtenir la localisation d'une unique particule entre deux électrodes métalliques. Les structures ainsi obtenues ont été caractérisées électriquement et ont permis l'observation de blocage et de paliers de Coulomb à basse température
The development of the micro 1 nano electronic field is based on the constant reduction of the critical dimensions. This constant change in scale willlead to severe physical (quantum perturbation. . . ) and technological issues (resolution treshold ofindustrial fabrication processes). One of the most promissing alternative to overcome these problems is the global integration ofnanometric objects in electronic devices. Ln this framework, we have developed several nanofabrication processes based or the use of a global, high resolution technique: the nanoimprint lithography. Manipulation and localisation of gold colloids have been performed using dielectrophoresis and several devices at the single particle level have been obtained. Electrical tests on these fabricated structures showed Coulomb blockage and staircases at low-temperature
37

Tallal, Jamal. "Développement de techniques de fabrication collectives de dispositifs électroniques à nanostructure unique." Phd thesis, Université Joseph Fourier (Grenoble), 2007. http://tel.archives-ouvertes.fr/tel-00197851.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Le développement actuel de la micro/nanoélectronique est basé sur une constante réduction des dimensions caractéristiques des structures fabriquées. Ce constant changement d'échelle va être confronté à terme à de nombreux obstacles à la fois physiques (courant de fuite important, effet quantique perturbateur ...) et technologiques (limite de résolution des procédés de fabrication industriels). Pour paliers à ces différents freins, une alternative prometteuse est l'intégration collective d'objets nanométriques dans des architectures électroniques. Dans ce contexte, nous avons tout d'abord développé différents procédés de fabrication collective de structures d'adressage par une technologie alternative haute résolution : la nanoimpression. Ensuite, la manipulation de nano-objets colloïdaux par diélectrophorèse a été étudiée dans le but d'obtenir la localisation d'une unique particule entre deux électrodes métalliques. Les structures ainsi obtenues ont été caractérisées électriquement et ont permis l'observation de blocage et de paliers de Coulomb à basse température.
38

Isselé, Hélène. "Caractérisation et modélisation mécaniques de couches minces pour la fabrication de dispositifs microélectronoiques-application au domaine de l'intégration 3D." Phd thesis, Université de Grenoble, 2014. http://tel.archives-ouvertes.fr/tel-00987507.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Fabriquer des dispositifs microélectroniques en utilisant des technologies d'intégration 3D nécessite une connaissance approfondie des problématiques mécaniques. En effet, les matériaux intégrés ont des propriétés thermomécaniques variées et sont déposés en couches minces sur un substrat aminci afin de pouvoir réaliser les interconnexions. Cette configuration nécessite un contrôle strict du niveau de déformation et de contrainte des dispositifs durant leur fabrication, afin de garantir leur intégrité. L'objectif de ce travail de thèse est d'exploiter les techniques de caractérisation disponibles au LETI, et de les associer à des outils de modélisation pour répondre à cette problématique. Ce couplage permet de contrôler le comportement mécanique d'un empilement complexe à chaque étape de sa fabrication. Les techniques expérimentales employées sont non destructives. Les outils de modélisation prennent en compte les propriétés élastiques et thermiques de chaque matériau de l'empilement, ainsi que les déformations intrinsèques engendrées par les étapes de dépôt de chaque couche. Des méthodologies couplées ont été développées afin de déterminer ces données d'entrée. A partir d'une base de données matériaux, un outil de prédiction du comportement mécanique d'un assemblage multicouches a été développé et validé expérimentalement. Il permet de prédire le niveau de déformation et de contrainte de l'empilement. Les prédictions mécaniques permettent d'orienter le choix des matériaux à intégrer afin d'améliorer l'intégrité des dispositifs et d'optimiser leur fabrication. Elles permettent également d'anticiper les problèmes de fiabilité provoqués à plus long terme par des contraintes et déformations trop élevées.
39

Ribot, Pascal. "Développement et réalisation de structures Silicium et Silicium-Germanium par RTCVD et leur intégration dans les technologies BiCMOS et CMOS avancées." Université Joseph Fourier (Grenoble), 2001. http://www.theses.fr/2001GRE10051.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
40

Gerber-Morata, Elisabeth. "Les dispositifs de formation et d'évaluation des compétences linguistiques des migrants adultes dans l’espace francophone européen : approches croisées." Thesis, Aix-Marseille, 2014. http://www.theses.fr/2014AIXM3003/document.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Notre étude croisée qui concerne trois pays francophones européens (Belgique, France et Suisse) montre qu'il existe des différences notables sur le plan national en matière d'immigration et de politique d'intégration. En Europe, on constate la mise en place d'un contrôle accru des flux migratoires, de la lutte contre l'immigration illégale et de la restriction des demandes d'asile alors que la construction d'une action centrée sur la question sociale de l'intégration des populations migrantes peine à se concrétiser. Nous nous sommes intéressée à la mise en place de ces politiques par le biais des dispositifs de formation et d'évaluation des compétences des migrants. Les dispositifs mis en place dans chaque Etat sont avant tout pensés en fonction des spécificités nationales et de l'histoire nationale. Ainsi, un examen précis des mesures prises dans les trois pays francophones laisse apparaitre des conceptions opposées dans la construction de l'équation « langue, immigration, intégration ». Notre étude fait émerger deux conceptions opposées : d'un côté, une conception de l'intégration linguistique focalisée sur l'effort individuel des migrants pour atteindre la « maîtrise linguistique » fixée par la société hôte et à l'opposé une conception de l'intégration sociale centrée autour d'un « processus » social » de longue durée engageant fortement la société hôte. Enfin, le troisième volet plus microsociologique, par le biais d'une recherche action autour de la mise en place d'un portfolio des langues, a permis de révéler les difficultés d'adaptation pédagogique des enseignants et les représentations traditionnelles des apprenants concernant l'apprentissage des langues
Our research regarding three francophone european countries (Belgium, France and Switzerland) shows first that there are significant differences in terms of immigration and integration policy. At the European level we notice a greater control of migration, actions against illegal immigration and the restriction of asylum applications while building a European action centered around the question of the social integration of migrant populations hardly takes place. We focused then our attention on the implementation of these policies through training schemes and skills evaluation of migrants learners. The training devices implemented in each country are primarily designed according to national specificities and national history. Thus, a detailed examination of the measures taken in the three francophone countries show opposite interpretations of the equation 'language , immigration, integration'. Our study brings out two opposite designs : on one side, a design focused on the linguistic integration of migrants and their individual efforts to achieve 'language mastery' set by the host country and on the opposite a design focused on social integration centered around a social long-term process with the strong commitment of the host country. Finally, the third microsociological component, through an action research around the implementation of a language portfolio, has revealed the teachers 'difficulties of adapting their teaching methods and learners' traditional views regarding language learning
41

Botelho, Diego Pereira. "Méthode des éléments naturels appliquée aux problèmes électromagnétiques : développement d’un outil de modélisation et de conception des dispositifs électriques." Thesis, Université Grenoble Alpes (ComUE), 2015. http://www.theses.fr/2015GREAT138/document.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Afin de surmonter les difficultés de la méthode des éléments finis (MEF) liées à la forte dépendance de la solution au maillage, des méthodes sans maillage ont été développées durant les 20 dernières années. Ces techniques ont l’avantage de procurer des approximations très régulières, capables de répondre de manière plus satisfaisante aux exigences croissantes des applications. Cependant, certaines caractéristiques intrinsèques à la plupart de ces approches rendent leur mise en œuvre difficile : souvent des techniques supplémentaires spécifiques doivent être mises en place pour imposer les conditions aux limites et traiter les discontinuités physiques. Récemment, la méthode des éléments naturels (MEN) est apparue, se basant sur les concepts de diagramme de Voronoï et de voisins naturels. C’est une approche capable d’associer les avantages d’une approximation très régulière propre aux méthodes sans maillage et une mise en œuvre quasiment identique à la MEF. Cette thèse porte sur l’étude et le développement de la MEN dédiée aux applications du génie électrique. Le but principal de ce travail exploratoire est l’étude des limitations ainsi que des avantages et du potentiel de la MEN et ses concepts sous-jacents. Les analyses de performances de la méthode sont présentées. Sur les points ouverts tels que l’intégration numérique, la montée en ordre et l’interpolation vectorielle, des développements originaux sont proposés
In order to overcome the limitations related to the finite element method’s (FEM) narrow dependency of the solution on the mesh, meshless or meshfree methods were developed over the last 20 years. These techniques present the advantage of yielding very smooth approximations, being able to respond more adequately to the increasing demands of applications. However, some intrinsic features of most of these approaches make the implementation difficult, often requiring additional specific techniques for the imposition of the boundary conditions and the treatment of physical discontinuities. Recently, the natural element method (NEM) was developed. This approach, based on the Voronoi diagram and the “natural neighbors” concepts, combines the advantages of very smooth approximations and a FEM-like implementation. This thesis focuses on the study and development of the NEM, dedicated to electrical engineering applications. The main purpose of this exploratory work is the study of the limitations, benefits and the potential of the NEM and its underlying concepts. Several analyses of NEM’s performance are presented. As far as the numerical integration, higher order approximations and the vector interpolation are concerned, original developments are proposed
42

Bourgeois, Clara. "Les défis de l'intersectorialité : l'exemple de la mise en oeuvre des dispositifs d'insertion professionnelle des immigrés." Thesis, Bordeaux, 2015. http://www.theses.fr/2015BORD0458/document.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Depuis plusieurs années en France et en Europe, le législateur encourage le rapprochement desecteurs d’action publique dans le cadre des politiques d’activation des chômeurs, visant ainsi àmettre en place une approche plus globale et décloisonnée des problématiques d’insertionprofessionnelle. On cherche dans ce travail à analyser les logiques d’action que sous-tendent ceschangements à travers l’étude de leur mise en oeuvre par les acteurs institutionnels locaux et lesagents de terrain en charge de l’accompagnement des demandeurs d’emploi.Trois niveaux d’action publique sont donc analysés : un niveau macro, celui du contexte danslequel se développe l’intersectorialité des politiques de l’emploi en France et en Europe, un niveauméso qui donne à voir la manière dont les acteurs mettent en oeuvre ces politiques sur lesterritoires et enfin un niveau micro qui s’intéresse au travail des agents de terrain.Alors que les rares recherches sur l’intersectorialité ont principalement porté sur le lien entre lesecteur de l’emploi et le secteur de l’action sociale, ce travail s’intéresse plus particulièrement aulien entre le secteur de l’emploi et celui de l’immigration. Ce cas d’intersectorialité permet de faireressortir les variables impactant le rapprochement sectoriel
Over the past years, the linkage between policy fields has been promoted in France and in Europe,following the development of activation policies, aiming at a more comprehensive anddecompartmentalized approach of professionnal inclusion issues. This work analyses the practisesthat underlie these changes by studying how they are implemented by local institutionnal actorsand street level bureaucrats working with the unemployed.Three level of public action are analysed : a macro level that informs us about the context in whichemployment policies’ cross-sectoriality is developped in France and in Europe, a meso level whichenlightens the way actors implement these policies at the local level, and last, a micro level whichanalyses street level buraucrats’ work.While the few studies on cross-sectoriality focused on the link between the employment and thesocial policy fields, this work will look into the link between the employment and the immigrationpolicy fields. This case of cross-sectoriality will enable us to shed light on the variables that impactsectorial linkages
43

Kuprenaite, Sabina. "Heterogeneous integration of functional thin films for acoustic and optical devices." Thesis, Bourgogne Franche-Comté, 2019. http://www.theses.fr/2019UBFCD039.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Le contrôle de la microstructure et de la morphologie de surface est essentiel pour que les couches minces soient appliquées dans des dispositifs optiques et acoustiques. Des couches minces de TiO2, LaNiO3 et ZnO et leurs hétérostructures ont été obtenues par des techniques de pulvérisation cathodique à radio fréquence et de dépôt chimique en phase vapeur (CVD). L'optimisation des paramètres de dépôt, tels que la température, la pression totale de la chambre, la pression partielle d'O2 et la vitesse de croissance, a conduit à une amélioration de la qualité structurelle et fonctionnels des films minces et de leurs hétérostructures. L'orientation des couches minces épitaxiales de ZnO et TiO2 a été ajustée non seulement par le lien épitaxial avec divers substrats, mais également par les conditions de dépôt. La qualité optique des films de TiO2 a été principalement optimisée par l'élimination des défauts de microstructure et l'augmentation de la non-stoechiométrie en oxygène. Il a été démontré que les défauts ponctuels et microstructuraux dans les films polycristallins et épitaxiaux jouent un rôle clé dans les pertes de propagation optique. L'effet de la polarité du substrat sur les propriétés structurelles, optiques et acoustiques des films minces à base de ZnO a également été étudié. Les couches sacrificielles et / ou d'initiation de croissance ont été identifiées pour l'intégration hétérogène de films acoustiques et optiques fonctionnels sur substrats semi-conducteurs
The control of microstructure and surface morphology is essential for the thin films to be applied in optical and acoustic devices. Thin films of TiO2, LaNiO3 and ZnO and their heterostructures in this work were obtained by radio frequency (RF) magnetron sputtering and metalorganic chemical vapor deposition (MOCVD) techniques. The optimization of deposition parameters, such as temperature, total chamber pressure, O2 partial pressure and growth rate, led to high structural quality of functional thin films and their heterostructures. The orientation of epitaxial ZnO and TiO2 thin films was tuned not only through lattice matching with various substrates, but as well through deposition conditions. The optical quality of TiO2 films was mostly optimized through elimination of microstructural defects and increasing oxygen non-stoichiometry. It was shown that microstructural and lattice defects in polycrystalline and epitaxial films played a key role in optical propagation losses. Effect of substrate polarity on the structural, optical and acoustic properties of ZnO-based thin films was studied, as well. The sacrificial and/or seed layers were identified for heterogeneous intégration of functional acoustical and optical films with semiconductor substrates
44

Fagade, Carole. "L'intégration des dispositifs numériques de l'information et de la communication dans les universités béninoises : le cas de WhatsApp à l'Université d'Abomey-Calavi (UAC)." Electronic Thesis or Diss., Bordeaux 3, 2021. http://www.theses.fr/2021BOR30056.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Á l’Université d’Abomey-Calavi (UAC), le nombre d’étudiants inscrits connaît chaque année une forte augmentation . Il faut ajouter à ce phénomène, la « vétusté » des moyens de communication de l’administration qui se limitent toujours au « bouche-à-oreille » ou à l’affichage, en ce qui concerne la communication avec les étudiants. Dans ce contexte, afin de satisfaire les besoins d’information et de communication qu’ils rencontrent, les responsables des étudiants et les étudiants eux-mêmes s’inventent le quotidien (de Certeau, 1990) à l’aide de l’internet. Ceux-ci se servent des réseaux sociaux numériques dont WhatsApp pour constituer des communautés d’étudiants virtuelles au sein desquelles l’information « circule » : l’information est non seulement donnée, mais elle est aussi relayée. Cette circulation se fait à travers la participation et le dynamisme de chaque membre du réseau. Les responsables sont informés par l’administration qui leur confie la totale responsabilité d’informer tous les autres étudiants. Dans ce nouveau schéma “info-communicationnel”, les responsables peuvent aussi compter sur les autres étudiants pour produire, à leur tour, l’information. Cette manière de participer contribue à pallier le phénomène d’insuffisance d’information, de communication et de collaboration auquel les étudiants sont confrontés dans leur quotidien. Cette thèse contribue, dans un premier temps, à montrer les représentations, les motivations et les usages des groupes WhatsApp. Elle vise, dans un second temps, à participer à l’amélioration des politiques institutionnelles de développement des TIC dans les universités en permettant d’identifier les aspects indispensables à une intégration progressive et effective du numérique à l’université d’Abomey-Calavi, la première et la plus grande université béninoise
At the University of Abomey-Calavi (UAC), the number of students enrolled each year is increasing sharply. Add to this phenomenon the “antiquated” means of communication of the administration, which are always limited to “word of mouth” or to signage, in terms of communication with students. In this context, in order to meet the information and communication needs they encounter, the student leaders and the students themselves engage in practices of the Internet in the sense of de Certeau (1990). They use digital social networks such as Whatsapp to build virtual student communities in which information “flows”: information is not only given but also relayed. This circulation takes place through the participation and dynamism of each member of the network. The managers are informed by the administration which entrusts them with the total responsibility of informing all the other students. In this new “info-communicational” scheme, managers can also count on other students to produce information in turn. This way of participating helps to overcome the phenomenon of insufficient information, communication and collaboration that students face in their daily lives. Our study helps, at first, to show the representations, motivations and usages of Whatsapp groups. It aims, in a second phase, to participate in the improvement of institutional policies for the development of ICT in universities by making it possible to identify the essential aspects for the progressive and effective integration of the digital technology at the University of Benin
45

Velmuradova, Maya. "Communication pour le développement et l'intégration sociale des nouveaux dispositifs : le rôle de la valeur perçue d'usage. : étude de cas dans l'appui à des Petites et moyennes entreprises au Turkménistan." Thesis, Aix-Marseille, 2015. http://www.theses.fr/2015AIXM5906/document.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Un nombre d’auteurs appellent à reconsidérer la communication pour le développement et le changement social comme une question de techniques et société. Les modèles de l’intégration sociale des innovations en SIC sont donc utilisés ici pour étudier comment de nouveaux dispositifs d’appui sont acceptés et appropriés par leurs usagers dans les pays en développement, et notamment en Asie centrale (Turkménistan). Le fait que la réception et l’appropriation des dispositifs par leurs usagers est primordiale pour l’efficacité des programmes d’appui n’est plus à prouver. Nous synthétisons les modèles des écoles anglo-saxonnes et francophones et dégageons les axes communs, déterminants pour l’intégration sociale : en amont de l’usage (les modèles de l’acceptation) et en aval (les modèles de l’appropriation). C’est la construction du sens d’usage, où l’usager mobilise les représentations « déjà-là » et l’imaginaire, pour évaluer les avantages et les pertes liés à l’usage du dispositif, l’expérience anticipée ou perçue de l’usage situé. Cette construction mentale s’apparente dans la littérature à la formation de la valeur perçue d’usage (Jouet ; Mallein, Toussaint et coll. ; Boenisch ; Assude et al. ; Nelson ; Kim et al.) ; néanmoins, il serait nécessaire de mieux comprendre ce processus. Nous explorons ce concept en détail dans notre étude qualitative de cas multi-sites réalisée dans le contexte d’un des dispositifs d’appui à la PME au Turkménistan. Nous modélisons le rôle de la valeur perçue d’usage dans l’intégration de nouveaux dispositifs d’utilité sociétale et le mécanisme de sa formation mentale chez les usagers organisationnels
Number of researchers call to reconsider communication for development and social change, as a problem of techniques and society. Thus, the models of social integration of innovations are used here to study how the new development support components are accepted and appropriated by their users in developing countries, notably in Central Asia (Turkmenistan). There is no need to prove anymore that users’ reception and appropriation is critical to the development programs effectiveness. Hence, we synthesize the Anglo-Saxon and French models and distinguish the common determinant axes for the innovation reception: before its actual use (acceptance models) and after it (cognitive appropriation models). It appears to be the mental construction of the meaning of use: the user mobilizes his representations « already there » and his imaginary to assess the associated functional and symbolic benefits-costs, the anticipated and perceived use experience. In the literature, this mental construction process appears as the formation of the Perceived Value of Use (Jouet; Mallein, Toussaint and coll.; Boenisch; Assude et al.; Nelson; Kim et al.). However, it would be necessary to further investigate this process. We explore this concept in detail in our qualitative multi-site case study, conducted within one of the SME support components in Turkmenistan. As result, we model the role of the Perceived Value of Use for the acceptance and the appropriation of the new services of social utility, as well as its mental formation on the organisational users’ side
46

Velmuradova, Maya. "Communication pour le développement et l'intégration sociale des nouveaux dispositifs : le rôle de la valeur perçue d'usage. : étude de cas dans l'appui à des Petites et moyennes entreprises au Turkménistan." Electronic Thesis or Diss., Aix-Marseille, 2015. http://www.theses.fr/2015AIXM5906.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Un nombre d’auteurs appellent à reconsidérer la communication pour le développement et le changement social comme une question de techniques et société. Les modèles de l’intégration sociale des innovations en SIC sont donc utilisés ici pour étudier comment de nouveaux dispositifs d’appui sont acceptés et appropriés par leurs usagers dans les pays en développement, et notamment en Asie centrale (Turkménistan). Le fait que la réception et l’appropriation des dispositifs par leurs usagers est primordiale pour l’efficacité des programmes d’appui n’est plus à prouver. Nous synthétisons les modèles des écoles anglo-saxonnes et francophones et dégageons les axes communs, déterminants pour l’intégration sociale : en amont de l’usage (les modèles de l’acceptation) et en aval (les modèles de l’appropriation). C’est la construction du sens d’usage, où l’usager mobilise les représentations « déjà-là » et l’imaginaire, pour évaluer les avantages et les pertes liés à l’usage du dispositif, l’expérience anticipée ou perçue de l’usage situé. Cette construction mentale s’apparente dans la littérature à la formation de la valeur perçue d’usage (Jouet ; Mallein, Toussaint et coll. ; Boenisch ; Assude et al. ; Nelson ; Kim et al.) ; néanmoins, il serait nécessaire de mieux comprendre ce processus. Nous explorons ce concept en détail dans notre étude qualitative de cas multi-sites réalisée dans le contexte d’un des dispositifs d’appui à la PME au Turkménistan. Nous modélisons le rôle de la valeur perçue d’usage dans l’intégration de nouveaux dispositifs d’utilité sociétale et le mécanisme de sa formation mentale chez les usagers organisationnels
Number of researchers call to reconsider communication for development and social change, as a problem of techniques and society. Thus, the models of social integration of innovations are used here to study how the new development support components are accepted and appropriated by their users in developing countries, notably in Central Asia (Turkmenistan). There is no need to prove anymore that users’ reception and appropriation is critical to the development programs effectiveness. Hence, we synthesize the Anglo-Saxon and French models and distinguish the common determinant axes for the innovation reception: before its actual use (acceptance models) and after it (cognitive appropriation models). It appears to be the mental construction of the meaning of use: the user mobilizes his representations « already there » and his imaginary to assess the associated functional and symbolic benefits-costs, the anticipated and perceived use experience. In the literature, this mental construction process appears as the formation of the Perceived Value of Use (Jouet; Mallein, Toussaint and coll.; Boenisch; Assude et al.; Nelson; Kim et al.). However, it would be necessary to further investigate this process. We explore this concept in detail in our qualitative multi-site case study, conducted within one of the SME support components in Turkmenistan. As result, we model the role of the Perceived Value of Use for the acceptance and the appropriation of the new services of social utility, as well as its mental formation on the organisational users’ side
47

Faye, Oumoul khairy Aby. "Les papillons de cité : trajectoires scolaires et parcours socioprofessionnels d'un groupe de jeunes sans qualification au sein des dispositifs d'insertion : une étude de cas à Strasbourg." Thesis, Strasbourg, 2018. http://www.theses.fr/2018STRAG002.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Cette thèse de doctorat porte sur le parcours socio-institutionnel d’un groupe de jeunes peu ou sans qualification bénéficiant d’une mesure politique d’aide à l’insertion professionnelle. Le but de cette recherche a été d’étudier comment ce public, marqué par des formes de disqualifications plurielles, est pris en charge par les dispositifs qui leur sont consacrés. Notons, de prime à bord, que les personnes ayant recours à l’assistance publique ont longtemps été jugées à tort comme une strate homogène alors qu’il existe plusieurs types de rapports aux services d’action sociale qui varient en fonction des individus, de leurs vécus voire de la phase qu’ils traversent au moment où ils sollicitent de l’aide. Dans la continuité de cette assertion, suivant le modèle du diagnostic médical, j’ai entrepris un travail d’anamnèse permettant de comprendre, plus ou moins, l’histoire étiologique de ceux et celles qui se retrouvent, a posteriori, dans le rôle institutionnalisé de stagiaire pathologique. De ce point de vue, je me suis intéressée aux différents cadres d’expériences des jeunes que sont : la famille, l’école et le milieu associatif ainsi que leurs impacts dans leurs trajectoires socioprofessionnelles. Autrement dit, l’objectif a été de voir comment, à partir de ses supports sociaux (nature, intensité, qualité des ressources), cette jeunesse trouve ou non les ressorts et dynamiques lui permettant de tracer sa voie. C’est dans ce contexte que j’ai intégré deux associations oeuvrant dans le processus d’insertion de jeunes ayant des difficultés à accéder au monde de l’emploi. Abordés comme étant des espaces communicationnels, la mission locale et en particulier l’Atelier ont été les lieux d’observations ethnographiques au sein desquels, j’ai tenté de faire ressortir les jeux d’acteurs, de pouvoir, les objectifs et les interactions multiples qui traversent ces territoires. Un intérêt spécifique a, ainsi, été porté sur les vécus, les représentations, les échanges conflictuels ou/et coopératifs entre les travailleurs sociaux et les bénéficiaires. Jogging, basket, casquette à l’envers ou langage de cité, cette enquête de terrain m’a,également, permis d’étudier l’esthétique, les postures et les expressions corporelles des jeunes en allant en quête du sens qu’elles prennent en tant qu’action individuelle et/ou collective notamment au sein des associations. Dans un horizon plus large, j’ai essayé de voir comment et dans quelle mesure les agents/acteurs de l’action sociale prennent en compte les spécificités de ce public et arrivent à créer des points d’équilibre entre la demande des bénéficiaires et la commande institutionnelle, entre les impératifs en tant que médiat et les contraintes structurelles axées sur l’immédiat
This doctoral thesis deals with the socio-institutional trajectories of a group of youth with low-level qualifications or no qualifications at all, who benefit from a reinsertion policy measure designed to help them find job. The purpose of this research is to study how this target-group of youth – characterized by multiple forms of disqualifications – is getting the proposed support. First and foremost, it should be noted that those who have resorted to public assistance have been long wrongly considered a homogeneous social stratum, whereas indeed, there are several ways to deliver social support, depending on individuals, their different life experiences or even the specific phases they are go through by the time they ask for help. In line with this assertion, following the model of medical diagnosis, I undertook an“anamnesis” towards understanding, more or less, the etiological history of those male and female as well, finding themselves “ à posteriori” playing the institutionalized part of“pathological” trainees. From that point of view, I had to study different spaces that are namely : the family, the school and the institutional environment as well as their impacts on the socio-professional trajectories of the individuals concerned. In other words, the objective was to check to what extent, based on social supports received (nature, intensity, quality of resources ...), that youth may find the springs and dynamics allowing them to trace their own path.It is in this context that I integrated two associations active in social work towards facilitating the process of inserting young people having difficulties to enter the world of employment. Considered as communicational spaces, the “Mission Locale” and particularly “l’Atelier” were my privileged places of ethnographic observation, where I strived to single out the games of actors, power games, objectives and the multiple interactions entwined in these territories. A specific interest was put on personal experiences, perceptions, conflictual and /or cooperative interactions between social workers and beneficiaries. Jogging, basket (shoes), cap position (back / front) or street language, this field survey also allowed me to study the esthetics, postures and body expressions of young people by looking at the significance they take as individuals and / or collective action, particularly within social associations. In a broader prospect, I have tried to see how and to what extent the agents / actors of the social institutions take into account the specificities of this public and manage to create points of balance between the request of the beneficiaries and the institutional command, between imperatives as mediate and immediate structural constraints
48

Gamet, Arnaud. "Etude et mise en oeuvre de transitions passives aux interfaces circuit/boîtier pour les bases de temps intégrées résonantes." Thesis, Aix-Marseille, 2017. http://www.theses.fr/2017AIXM0002.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
L’intégration des oscillateurs dans les microcontrôleurs est aujourd’hui un enjeu industriel majeur suscitant une forte concurrence entre les principaux acteurs du marché. En effet, les oscillateurs sinusoïdaux sont des circuits indispensables, et sont majoritairement basés sur l’utilisation d’un résonateur à quartz ou MEMS externe. De plus en plus d’investigations sont menées afin d’intégrer des dispositifs résonants dans les boîtiers et éviter ainsi toutes les contraintes extérieures limitant les performances de l’oscillateur. En ce sens, nous avons étudié dans ce travail le comportement électrique, et notamment inductif, des liaisons filaires permettant de connecter une puce à son boîtier de protection. L’avantage d’utiliser ce composant passif est principalement son faible coût. Ce composant a été caractérisé en utilisant plusieurs méthodologies de modélisations et de mesures sur une large plage fréquentielle. Cette étude propose un modèle permettant aux concepteurs d’utiliser une caractéristique électrique équivalente dans une technologie CMOS standard. L’intégration du composant dans une cellule résonante est démontrée au sein d’un prototype
Nowadays, the integration of oscillators into microcontrollers is a major industrial challenge which involves a large competition between the main actors of this market. Indeed, sine wave oscillators are essential circuits, and are fore the most part based on external crystal or MEMs resonators. More and more investigations are carried out in order to integrate the resonant structure into the package, and avoid all external constraints able to restrict the performances of the oscillator. With this in mind, we studied in this work the electrical behavior, in particular the inductive behavior of bond wires which are electrical connections between a die and its package. The main advantage to use this type of component is its low cost of manufacturing. This passive component has been characterized using several measurement tools on a wide range of frequencies. A RLC model has been presented, allowing analogue designers to use an electrical equivalent circuit in standard CMOS technology. The integration of the passive component in a resonant cell has been demonstrated in a prototype
49

Tagro, Yoann. "Mise au point d’une méthodologie de caractérisation des 4 paramètres de bruit HF des technologies CMOS et HBT avancées dans la bande 60-110 GHz : développement de système à impédance variable in-situ." Thesis, Lille 1, 2010. http://www.theses.fr/2010LIL10123/document.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Les avancées technologiques sur la réduction de la longueur de grille en accord avec la loi de Moore permettent aujourd’hui d’avoir des transistors sur silicium assez performants (ft/fMax > 150 GHz). La connaissance des performances dynamiques et en bruit en gamme millimétrique des transistors passe par leur caractérisation qui aujourd’hui est rendue difficile à cause de la limitation en fréquence des appareils de mesure. Il a été question dans cette thèse d’établir dans un premier temps un état de l’art sur les tuners d’impédances. Cette étude a débouché sur la nécessité de concevoir et de caractériser des tuners intégrés pour s’affranchir des pertes d’insertions causées par les dispositifs passifs entre les tuners mécaniques et les transistors sous test. Nous avons décrit les BEOL et les différents composants constituant le tuner intégré, puis définit une architecture commune aux 2 technologies CMOS 65 nm et BiCMOS9MW. La mesure des tuners présente des performances meilleures (TOS de 7 :1 et 150 :1) que les tuners mécaniques standards. Les méthodes de caractérisation en bruit sont présentées avec une attention particulière sur la méthode des impédances multiples que nous avons utilisée en source froide. Nous concluons par l’extraction des 4 paramètres de bruit des transistors MOSFET et HBT, en utilisant les tuners intégrés conçus. Les performances obtenues sont respectivement de l’ordre de 2 dB et 3.5 dB à 80 GHz et sont en accord avec les modèles utilisés. Une ouverture vers des applications encore plus larges des tuners est présentée, permettant d’envisager des applications au-delà de la bande W (75-110 GHz), des systèmes load-pull et des amplificateurs à gain variable
The advanced technologies following the gate length scaling in agreement with Moore’s law allow today to get high performances of silicon transistors (ft/fMax > 150 GHz). The knowledge of the silicon transistors’ dynamic and noise performances in millimeter wave range is mandatory but they characterization is difficult due to the limitation of measurement tools. In this thesis we establish in a first step a state of the art of existing impedance tune. This study is followed by the design and the characterization of integrated impedance tuners in order to avoid the insertion losses induced by the passive devices between mechanical tuner and transistors under test in classical setup. We have described the BEOL, the different integrated tuner’s components, and defined a common tuner’s architecture for both technologies (CMOS 65 nm and BiCMOS9MW). The tuner measures presented performances (TOS of 7:1 and 150:1) better than mechanical ones. The noise characterization methods are presented with particular focus on the multi impedance method that we have used in cold-noise source. We conclude by the extraction of the 4 noise parameters of the MOSFET and HBT transistors, using designed integrated tuners. The obtained noise performances in millimeter wave range are respectively around 2 dB (MOSFET) and 3.5 dB (HBT) and are in agreement with the used models. The possibility to address a broad band of applications with these tuners is also presented, such as load-pull applications, G band integrated tuner, variable gain amplifier
50

Gamet, Arnaud. "Etude et mise en oeuvre de transitions passives aux interfaces circuit/boîtier pour les bases de temps intégrées résonantes." Electronic Thesis or Diss., Aix-Marseille, 2017. http://www.theses.fr/2017AIXM0002.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
L’intégration des oscillateurs dans les microcontrôleurs est aujourd’hui un enjeu industriel majeur suscitant une forte concurrence entre les principaux acteurs du marché. En effet, les oscillateurs sinusoïdaux sont des circuits indispensables, et sont majoritairement basés sur l’utilisation d’un résonateur à quartz ou MEMS externe. De plus en plus d’investigations sont menées afin d’intégrer des dispositifs résonants dans les boîtiers et éviter ainsi toutes les contraintes extérieures limitant les performances de l’oscillateur. En ce sens, nous avons étudié dans ce travail le comportement électrique, et notamment inductif, des liaisons filaires permettant de connecter une puce à son boîtier de protection. L’avantage d’utiliser ce composant passif est principalement son faible coût. Ce composant a été caractérisé en utilisant plusieurs méthodologies de modélisations et de mesures sur une large plage fréquentielle. Cette étude propose un modèle permettant aux concepteurs d’utiliser une caractéristique électrique équivalente dans une technologie CMOS standard. L’intégration du composant dans une cellule résonante est démontrée au sein d’un prototype
Nowadays, the integration of oscillators into microcontrollers is a major industrial challenge which involves a large competition between the main actors of this market. Indeed, sine wave oscillators are essential circuits, and are fore the most part based on external crystal or MEMs resonators. More and more investigations are carried out in order to integrate the resonant structure into the package, and avoid all external constraints able to restrict the performances of the oscillator. With this in mind, we studied in this work the electrical behavior, in particular the inductive behavior of bond wires which are electrical connections between a die and its package. The main advantage to use this type of component is its low cost of manufacturing. This passive component has been characterized using several measurement tools on a wide range of frequencies. A RLC model has been presented, allowing analogue designers to use an electrical equivalent circuit in standard CMOS technology. The integration of the passive component in a resonant cell has been demonstrated in a prototype

До бібліографії