Дисертації з теми "Deposited Substrate"

Щоб переглянути інші типи публікацій з цієї теми, перейдіть за посиланням: Deposited Substrate.

Оформте джерело за APA, MLA, Chicago, Harvard та іншими стилями

Оберіть тип джерела:

Ознайомтеся з топ-50 дисертацій для дослідження на тему "Deposited Substrate".

Біля кожної праці в переліку літератури доступна кнопка «Додати до бібліографії». Скористайтеся нею – і ми автоматично оформимо бібліографічне посилання на обрану працю в потрібному вам стилі цитування: APA, MLA, «Гарвард», «Чикаго», «Ванкувер» тощо.

Також ви можете завантажити повний текст наукової публікації у форматі «.pdf» та прочитати онлайн анотацію до роботи, якщо відповідні параметри наявні в метаданих.

Переглядайте дисертації для різних дисциплін та оформлюйте правильно вашу бібліографію.

1

Porada, O. K., A. O. Kozak, V. I. Ivashchenko, S. M. Dub, Олександр Дмитрович Погребняк, Александр Дмитриевич Погребняк, and Oleksandr Dmytrovych Pohrebniak. "Hard Si-C-N Chemical Vapor Deposited Films." Thesis, Sumy State University, 2015. http://essuir.sumdu.edu.ua/handle/123456789/42711.

Повний текст джерела
Анотація:
Si-C-N thin films were deposited on silicon substrates by plasma-enhanced chemical vapor deposition (PECVD) using hexamethyldisilazane as the main precursor. An influence of substrate temperature (TS) on film properties was analyzed. It was established that the deposited films were x-ray amorphous. The growth of the films slows down with increasing substrate temperature. The distribution of Si–C, Si–N and C–N bonds were almost independent of TS, whereas the number of С–Н, Si–H and N–H bonds essentially decreased when substrate temperature increased. The nanohardness and elastic modulus increased with TS due to a reduction of the weak hydrogen bonds.
Стилі APA, Harvard, Vancouver, ISO та ін.
2

Arseenko, M. Y., M. G. Kovaleva, M. C. Prozorova, and Y. M. Tyurin. "Properties of titanium powder coatings deposited on a substrate of steel-1030." Thesis, Видавництво СумДУ, 2011. http://essuir.sumdu.edu.ua/handle/123456789/20766.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
3

Gilzad, Kohan Mojtaba. "Plasmonic Effect of Metal Nanoparticles Deposited on Wide-Band Gap Metal Oxide Nanowire Substrate." Thesis, Luleå tekniska universitet, Institutionen för teknikvetenskap och matematik, 2017. http://urn.kb.se/resolve?urn=urn:nbn:se:ltu:diva-64762.

Повний текст джерела
Анотація:
The application of nanowires (NWs) in solar cells (SCs) is of great interest due to their new promising aspects established in nanoelectronics. Semiconductors associated with plasmonic metal nanoparticles (NPs) such as Silver (Ag), Gold (Au) and Copper (Cu), show enhanced performance in solid state light absorbing SCs owing to plasmonic characteristic of noble metal NPs. Plasmonic NPs presented a significant role in development of visible light harvesting for many applications such as photocatalytic materials, photodynamic in Surface Enhanced Raman Spectroscopy (SERS) and photovoltaics (PVs). Integration of plasmonic NPs in semiconductor materials have opened the routes to expand new PV systems with high efficiency light absorption. In this project, we introduce the synthesis ZnO and TiO2 NWs used as N-type semiconducting substrates and various methods for isolating plasmonic metal NPs, which are later deposited on the semiconducting substrates. Vertically aligned ZnO and TiO2 NWs arrays were grown on the fluorine-doped tin oxide (FTO) conductive glass substrates via hydrothermal method at low temperature and the plasmonic NPs were synthesized by wet chemistry procedures and finally decorated on the NW films by using electrophoretic deposition.  The impact of metal NPs loaded on the ZnO and TiO2 NWs substrates was studied by means of UV-vis spectroscopy and Photoluminescence (PL) spectroscopy. The absorbance spectra of individual NPs were recorded. Remarkably, the reflectance spectra of produced samples presented an enhancement in light absorption of the substrates after uptake of NPs on the ZnO and TiO2 NWs. The optical properties of the as grown ZnO NWs films decorated with Ag NPs (I) in direct contact with substrate and (II) in presence of an Al2O3 insulating spacer layer have been investigated. Both systems exhibited an enhancement in the UV band-edge emission from the ZnO when excited at 325 nm. In contrast, the broad bend defect emission of the samples did not have a significant change compare to bare ZnO substrates. The observed results suggested that the ZnO and TiO2 NWs decorated with plasmonic nanoparticles can boost the optical properties of MOs NWs substrates and hence effectively enhance the separation of photoexcited electron-hole pairs and photo-conversion applications.
Стилі APA, Harvard, Vancouver, ISO та ін.
4

Vašková, Lucie. "On line control of transparent inorganic layers deposited on polymeric substrate by phase modulated spectroscopic ellipsometry." [S.l.] : [s.n.], 2006. http://deposit.ddb.de/cgi-bin/dokserv?idn=980383307.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
5

Chaitoglou, Stefanos. "Growth Study and Characterization of Single Layer Graphene Structures Deposited on Copper Substrate by Chemical Vapor Deposition." Doctoral thesis, Universitat de Barcelona, 2016. http://hdl.handle.net/10803/400402.

Повний текст джерела
Анотація:
Graphene was first isolated from graphite using the method called the tape by scientists at the University of Manchester (Andre Geim, Konstantin Novoselov); such work was later awarded the Nobel Prize in Physics (2010) highlighting its innovative contribution. Still, the method of the tape or mechanical exfoliation can not provide larger domains graphene some hundred micrometers. different technologies that could promote the synthesis of continuous layers of graphene large area in order to boost the potential for large-scale applications were needed. Synthesis chemical vapor deposition (CVD) on various metal substrates is probably the method that meets the above requirements. In the CVD technique, a precursor gas is introduced into a carbon furnace where the metal substrate is placed. The gas molecule decomposes and the carbon atoms are deposited on the metal surface. There are different factors that affect the growth of graphene: the selection of the metal substrate and the thickness thereof; the growth temperature, pressure and partial pressures of the precursor gas carbon / hydrogen / argon; and finally, the growth time. Considering the synthesis of graphene, the aim of the thesis lies present new experiments and results that demonstrate the effect of H2 partial pressure, through PCH4 / PH2 ratio between gas flows, on the growth of crystals dimensional graphene and morphology. To do this, we have designed an experimental methodology consisting of three experiments: 1) Application of a hydrogen plasma to reduce the copper substrate, rather than the regular process in the presence of hydrogen. 2) In relation to the growth of graphene, we propose an optimization experiment to determine control factors for a single continuous layer of graphene and graphene large single crystal domains. 3) intended to identify the role of partial pressure ratio, / , and gas flow of hydrogen and methane have conducted experiments varying these parameters.
El grafeno fue aislado por primera vez a partir del grafito mediante el llamado método de la cinta adhesiva por científicos de la Universidad de Manchester (Andre Geim, Konstantin Novoselov); dicho trabajo fue posteriormente reconocido con el Premio Nobel en Física (2010) destacando su aportación innovadora. Aún así, el método de la cinta adhesiva o exfoliación mecánica no puede proporcionar dominios de grafeno mayores que algunos cientos de micrómetros. Eran necesarias diferentes tecnologías que pudieran promover la síntesis de capas de grafeno continuas de area grande con el fin de impulsar el potencial para aplicaciones a gran escala. La síntesis de deposición química en fase vapor (CVD) sobre diferentes sustratos metálicos es probablemente el método que cumple con los requisitos anteriores. En la técnica CVD, se introduce un gas precursor de carbono en un horno donde se coloca el sustrato metálico. La molécula de gas se descompone y los átomos de carbono se depositan sobre la superficie metálica. Existen diferentes factores que afectan el crecimiento del grafeno: la selección del sustrato del metal y el espesor de la misma; la temperatura de crecimiento, la presión, así como las presiones parciales del gas precursor del carbono / hidrógeno / argón; y, por último, el tiempo de crecimiento. Teniendo en cuenta la síntesis de grafeno, el objetivo de la tesis recae en presentar nuevos experimentos y resultados que evidencien el efecto de la presión parcial de H2, a través de la relación PCH4/PH2 entre los flujos de gas, sobre el crecimiento de cristales bidimensionales de grafeno y en su morfología. Para ello, hemos diseñado una metodología experimental que consiste en tres experimentos: 1)La aplicación de un plasma de hidrógeno para reducir el sustrato de cobre, en lugar del proceso regular en presencia de hidrógeno. 2)En relación con el crecimiento de grafeno, proponemos un experimento de optimización que determinen los factores de control para obtener una sola capa continua de grafeno y grandes dominios monocristalinos de grafeno. 3)Con la intención de identificar el papel de la relación de presiones parciales, / , y el flujo de gas de hidrógeno y metano hemos llevado a cabo experimentos de la variación de estos parámetros.
Стилі APA, Harvard, Vancouver, ISO та ін.
6

Bragg, Donald. "Photocatalytic Oxidation of Carbon Monoxide Using Sputter Deposited Molybdenum Oxide Thin Films on a Silicon Dioxide Substrate." Fogler Library, University of Maine, 2007. http://www.library.umaine.edu/theses/pdf/BraggD2007.pdf.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
7

Alontseva, D. L., N. V. Prokhorenkova, A. V. Russakova, Олександр Дмитрович Погребняк, Александр Дмитриевич Погребняк, and Oleksandr Dmytrovych Pohrebniak. "Forming the strengthening nanoparticles in the Co-Cr based coatings deposited by plasma detonation on a steel substrate." Thesis, Видавництво СумДУ, 2011. http://essuir.sumdu.edu.ua/handle/123456789/20570.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
8

Sobol, О. V., V. A. Stolbovoy, S. V. Aleshin, A. A. Andreev, S. N. Grigorev, V. F. Gorban, and M. A. Volosova. "Stucture and mechanical characteristics of vacuum arc TiN coatings deposited with high-voltage high frequency pulses on the substrate." Thesis, Видавництво СумДУ, 2011. http://essuir.sumdu.edu.ua/handle/123456789/20579.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
9

GADHI, TANVEER AHMED. "Design of Visible Light Driven Bismuth based Catalysts for Degradation of Organic Pollutants." Doctoral thesis, Politecnico di Torino, 2018. http://hdl.handle.net/11583/2702932.

Повний текст джерела
Анотація:
This Ph.D. thesis deals with the synthesis, immobilization of bismuth-based nanostructures and their photocatalytic evaluation for degradation of organic pollutants mainly dyes, with the aim to optimize synthesis and photo-evaluation conditions by focusing the practical application of heterogeneous photocatalysis. Initially, various bismuth based single structures α-Bi2O3, Bi5O7NO3 and heterostructures α/β-Bi2O3, β-Bi2O3/Bi5O7NO3 were synthesized by a simple and scalable route i.e. thermal decomposition of precursor salt. Properties such as crystallinity, composition, morphology and optical parameters were tuned by simply varying the calcination temperature. Heterostructures of α/β-Bi2O3, β-Bi2O3/Bi5O7NO3 are well crystallized, formed stable composites (originated from single precursor salt) and eventually improved the stability of β-Bi2O3 (a metastable form) in the heterojunction structure. Single structures and heterostructures were evaluated for photodegradation of various dyes (differ in chemical structures and ionic behaviors) under visible and UV light. Test were conducted on single dyes or mixed solution of 2/3 dyes to assess the photocatalytic mechanism and kinetics when dealing the mixed effluent. From the obtained results, it was observed that α/β-Bi2O3 and β-Bi2O3/Bi5O7NO3 heterostructures have higher photocatalytic response due to efficient cascade of electrons and holes within the tuned heterojunction and band alignments. Moreover, different dyes interact differently with the photocatalyst and resulted in changed kinetics, while mechanism of degradation depended upon their ionic behavior. Furthermore, during degradation of mixed solution; dyes that have higher interaction (with photocatalyst) and low absorptivity preferentially degraded earlier. Afterwards, α/β-Bi2O3 were used to investigate and distinguish coexisting processes during photocatalysis: (i) intense adsorption, (ii) dye photobleaching and sensitization assisted photodegradation and (iii) partial or complete mineralization. It was found that some dyes with Azo (N=N) and sulphonic groups have intense adsorption over photocatalyst surface and discoloration could occur without photocatalysis. Further, it was revealed that under controlled conditions, the other coexisted processes hardly occur during photocatalysis. Moreover, indigo carmine (IC) dye was found appropriate for preliminary photo-evaluation because its discoloration/removal process could be directly associated with photocatalytic oxidation by analyzing some identified spectral changes in UV-vis absorbance spectrum. Moreover, it was highlighted that dye chromophoric groups react readily and are easily attacked by the originated photocatalytic reactive species and partially mineralized, while further degradation of resulted intermediates containing phenyl groups, became more difficult to oxidize or reduce to achieve complete mineralization. In addition, to investigate and identify the mechanism and the path of photodegradation of the investigated dyes, two approaches were used: 1) the photo-evaluation of dyes in presence of quenchers of hole, atomic oxygen and hydroxyl radical i.e. triethyl amine (TEA), P-benzoquinone (BQ) isopropanol (IP) and, respectively and 2) Evolution of O2 after water oxidation. From the experimental results it was observed, that the photocatalytic activity eventually reduced in presence of quenchers as they quench the originated reactive radical species. Moreover, evolved O2 during water splitting confirmed that electrons and holes are well separated and able to generate reactive oxygen and radical species for photodegradation and partial mineralization of dyes. Thereafter, the work was focused to tackle the challenges of powder photocatalyst recovery and to explore a competing route, i.e. immobilized fixed support. Glass, steel mesh and sintered silica were used for photocatalyst immobilization to solve the problems associated to photocatalyst recovery, mass limitation and low interaction of pollutants with fixed photocatalyst supports. The immobilization/deposition of β-Bi2O3 over each support, was achieved by pneumatic spray pyrolysis and subsequent calcination at 450 °C. During photo-evaluation of different β-Bi2O3 immobilized supports; deposited sintered silica exhibited higher activity and competing response to β-Bi2O3 powder. The improved activity of sintered silica was associated to the rough, porous and hydrophilic nature of silica that have facilitated in providing higher interaction of deposited β-Bi2O3 films with dye molecules. Furthermore, β-Bi2O3 deposited sintered silica exhibited improved performance for photodegradation and mineralization of various dyes of different chemical structures and ionic behaviors and cyclic stability up to 3 cycles. Then, the work was focused to obtain single structure ferromagnetic bismuth ferrite (BiFeO3) and its heterostructure (BiFeO3/Fe2O3/Bi2Fe4O9); as they have the advantage of easy magnetic separation from aqueous solution. The single structure BiFeO3 and its heterostructures were obtained by using Sol-Gel method, in which precursor solution, containing dissolved Bi(NO3)3:5H2O and Fe(NO3)3:9H2O were preheated and calcined at 500°C with and without addition of Polyethylene Glycol (PEG) and NaOH in the precursor solution. From the XRD and UV-vis DRS analysis it was observed that addition of PEG and NaOH, assisted to obtain single nanostructure BiFeO3, simply by enabling the particles polymerization and inhibiting the formation of other compounds like Fe2O3 and Bi2Fe4O9. It was revealed that single phase BiFeO3 is antiferromagnetic in nature and have very low photocatalytic response, due to the low energy band gap and high electron and holes recombination rate. On the other hand, BiFeO3/Fe2O3/Bi2Fe4O9 heterostructure displayed high magnetic saturation and exhibited improved photoactivity. This is due to a low electrons and holes recombination rate because of tuned band alignment and charge transfer within the heterojunction interfaces. Cyclic stability and photocatalytic performance of BiFeO3/Fe2O3/Bi2Fe4O9 were found almost similar during photodegradation of various dyes up to 3 cycles. At the end, detailed analyses of the efficient heterostructure α/β-Bi2O3 and promising β-Bi2O3 immobilized silica were made, for the evaluation of bulk single and mixed dye solutions under natural sunlight and at varying IC dye concentrations. It was found that the mechanism and the photodegradation kinetics were almost similar amongst lab conditions and during sunlight irradiation and for bulk solutions of single and mixed dyes. Moreover, the experienced phenomena of the degradation and achieved kinetic rate at varying IC concentration were almost alike for both α/β-Bi2O3 and deposited β-Bi2O3 sintered silica. These results revealed that deposited β-Bi2O3 sintered silica could have the promising potential over α/β-Bi2O3 or any other powder photocatalyst under solar light irradiation. Moreover, cyclic stability and the photoactivity of both α/β-Bi2O3 and deposited β-Bi2O3 silica were almost identical up to 3 cycles.
Стилі APA, Harvard, Vancouver, ISO та ін.
10

Schlebrowski, Torben [Verfasser], Christian B. [Akademischer Betreuer] Fischer, Stefan [Akademischer Betreuer] Wehner, Christian B. [Gutachter] Fischer, and Barbara [Gutachter] Hahn. "Plasma deposited thin layers of amorphous hydrogenated carbon (a-C:H) on selected biodegradable polymer films - Layer thickness and substrate dependent carbon hybridisation and its effect on layer stability / Torben Schlebrowski ; Gutachter: Christian B. Fischer, Barbara Hahn ; Christian B. Fischer, Stefan Wehner." Koblenz, 2020. http://d-nb.info/1213726859/34.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
11

Tang, Guolei. "Organization of nanoparticles within surfactant bilayer deposited onto solid substrates." Paris 11, 2010. http://www.theses.fr/2010PA112155.

Повний текст джерела
Анотація:
Notre approche expérimentale via la méthode de la bulle de surfactant a reposé sur l’utilisation des propriétés d’auto-assemblages de tensio-actifs, ces derniers stabilisant les parois des films minces et permettant ainsi l’organisation des nano-objets. Le film est alors transféré sur des substrats solides et caractérisé par AFM, XPS et SEM. Deux types de nano-objets ont été étudiés : d’une part, nous avons d'abord prouvé que le film de SWNT pouvait être transféré sur des substrats de mouillabilité variable. Sur surface hydrophobe, nous avons obtenu des films présentant une forte densité de SWNT alignés. Dans l’optique d’applications type électrodes transparentes, il est necessaire de transposer ces expériences à des substrats de nature différente tel que le verre ou le kapton et les premiers résultats obtenus sont encourageants avec des dépots de SWNT denses et homogènes. D’autre part, nous avons étendu cette méthode de dépôt à deux types de nanoparticules hydrophiles : les nanofils d’oxydes mixtes type CeVO4 et les nanoparticules de silice. Dans le cas des nanofils, nous avons mis en évidence l’influence du temps de drainage au sein de la bulle sur la densité de nano-objets présents dans le film une fois déposé. Dans le cas des nanoparticules de silice, c’est, cette fois-ci, la concentration en surfactant qui va être un facteur déterminant pour la morphologie finale du film. Ces travaux ont donc permis de montrer que notre technique de confinement et de dépôt de nano-objets via des bulles de surfactants était une méthode simple, facile à mettre en place et généralisable à une grande variété de nano-objets et de substrats
Our experimental approach via the surfactant bubble method has consisted in the use of the surfactant self-assembly properties. In the bubble, the surfactants stabilize the thin film walls and lead to the nano-objets organization. The film is then transferred on solid substrates and characterized by AFM, XPS and SEM. Two kinds of nano-objets have been studied: On the one hand, we first proved that the SWNT film could be transferred on substrates with different wettabilities. On hydrophobic substrates, we obtained films with a high density of aligned SWNTs. For applications like transparent electrodes, it’s necessary to transpose these experiments on other substrates like glass or Kapton film. Preliminary results are positive with dense and homogeneous SWNT films. On the other hand, we have extended this deposition method to hydrophilic nanoparticles like CeVO4 nanowires and silica nanoparticles. In nanowire case, we have a correlation between the drainage time inside the bubble and the density of nano-objets in the deposited film. In silica nanoparticle case, the surfactant concentration is one of the main factors which determine the final film morphology. These works have showed that our nano-objet confinement and deposition technique using the bubble deposition method is really easy to put in place and can be extended to a large variety of nano-objets and substrates
Стилі APA, Harvard, Vancouver, ISO та ін.
12

Al-Rashed, Rashed (Rashed Ahmed), and Marta Krason. "Fabrication and characterization of high surface area nickel-deposited graphite substrates." Thesis, Massachusetts Institute of Technology, 2014. http://hdl.handle.net/1721.1/98824.

Повний текст джерела
Анотація:
Thesis: S.B., Massachusetts Institute of Technology, Department of Mechanical Engineering, June 2015. First author.
Thesis: S.B., Massachusetts Institute of Technology, Department of Mechanical Engineering, June 2014. Second author.
Cataloged from PDF version of thesis.
Includes bibliographical references (pages 61-63).
In certain electrochemical battery cells, inefficiencies arise due to the formation of hydrogen from corrosion reactions at the anode. One way to reduce these inefficiencies is to operate the cell at high current densities, which is obtainable given a high cathode to anode surface area ratio; however, commercially available metal foams often do not provide sufficient surface area density (cm 2/g). Coating high-surface area materials in the appropriate metal is a premier alternative, but while methods to effectively coat two-dimensional substrates in metal through electrodeposition has been well documented, attempts to scale electrodeposition to three-dimensional coating to achieve high-surface area catalysts has encountered certain challenges. The formation of a pure metal crust on the outer surface area of the catalyst prevents the penetration of metal ions into the inner fibers of the material, resulting in a lower surface area density. This thesis describes simple, repeatable electrodeposition methods to increase the homogeneity of the nickel coating throughout highly porous graphite catalysts and prevent the formation of a metal crust. Parameters such as direct/pulsating current, ultrasonic vibration pretreatment, and varying electrodeposition solution concentrations were tested in order to optimize the electrodeposition procedure. Three techniques were used to characterize the post-synthesis surface condition including scanning electron microscopy, electron dispersive spectroscopy and cyclic voltammetry. The improved electrodeposition method led to nickel-coated graphite felt with a specific surface area of 620 cm2/cm 3 and a surface area density of 7500 cm2/g, achieving a 890% higher surface area and 4650% higher surface area density than commercially available nickel foams. The proposed electrodeposition method provides high-surface area, full-volume coating of highly porous catalysts, applicable not only to electrochemical batteries but to any battery chemistry with an electrolyte that contains fuels, particularly flow batteries. The proposed methods to obtain effective full-coated, high-surface area catalysts have the potential to optimize outputted battery power and thereby revolutionize battery electrode fabrication.
by Rashed Al-Rashed [and] Marta Krason.
S.B.
Стилі APA, Harvard, Vancouver, ISO та ін.
13

Cheng, Pak Lun. "Experiments and quantitative resistance analyses of as-deposited resistors on organic substrates /." View Abstract or Full-Text, 2003. http://library.ust.hk/cgi/db/thesis.pl?MECH%202003%20CHENG.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
14

Minani, Evariste. "Growth temperature and microstructural differences in hydrogenated amorphous silicon deposited on glass substrates." Master's thesis, University of Cape Town, 2002. http://hdl.handle.net/11427/6995.

Повний текст джерела
Анотація:
Includes bibliography.
Hydrogenated amorphous silicon (a-Si:H) is an important thin film semiconductor with a wide variety of applications in microelectronics and optoelectronics. However, it is metastable and photodegrades after a moderate light illumination (Staebler-Wronski effect). The most stable material has been suggested to be at the edge of crystallinity with microcrystalline inclusions. Using a combination of positron annihilation and X-ray diffraction techniques, the microstructure of hydrogenated amorphous silicon grown by hot wire chemical vapour deposition on glass substrates at different substrate temperatures ranging between 300°C and 500 °C is examined. In previous studies the crystallisation was accompanied by a relaxation of defect structure with an increase in free volume at positron annihilation site. In this work, both techniques show a relaxation of the network with increasing growth temperature, leading to a higher degree of ordering, shorter bond lengths, and a reduction in the average size of defects in the material.
Стилі APA, Harvard, Vancouver, ISO та ін.
15

Munns, Clifford B. "X-ray diffraction studies of evaporated gold thin films deposited on aluminum nitride substrates." Thesis, Monterey, Calif. : Springfield, Va. : Naval Postgraduate School ; Available from National Technical Information Service, 1994. http://handle.dtic.mil/100.2/ADA280454.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
16

Liao, Yu-ko, and 廖育柯. "A silicon dioxide hard coating deposited on flexible substrate." Thesis, 2005. http://ndltd.ncl.edu.tw/handle/w3fyjy.

Повний текст джерела
Анотація:
碩士
國立虎尾科技大學
光電與材料科技研究所
93
A hard coating silicon dioxide film was deposited on flexible substrates by a PECVD system. Tertramethylsilane (TMS) and oxygen were employed as raw materials. Surface roughness and hardness of these films deposited under various TMS/O2 gas flow ratio, rf power and deposition pressure were investigated. In general, an inverse proportional was found between the surface roughness and hardness. With adequate fabricated conditions, the original surface roughness of polymetylmethacrylate (PMMA~ 3.36 nm) and polycarbonate (PC~ 1.38 nm) substrates was markedly flatted to 1.52 and 0.39 nm, respectively. Meanwhile, the surface hardness of PMMA and PC substrates coated with these hard films was also enhanced to 6.077 GPa and 3.978 GPa, respectively. The standard pencil hardness of PMMA(3H) and PC(1H) substrates obtained in this study was 6H and 3H.Final step, the adhesion between the s flexible substrates and the hard coating layers was good due to the existence of the middle layer which consisted of inorganic and organic compositions.
Стилі APA, Harvard, Vancouver, ISO та ін.
17

LI, ZHENG-XING, and 李政興. "Obliquely Deposited TiN Nanorod Arrays with an Additional Substrate Bias." Thesis, 2019. http://ndltd.ncl.edu.tw/handle/29xdcv.

Повний текст джерела
Анотація:
碩士
國立臺北科技大學
光電工程系
107
Alternative materials for plasmonic devices have garnered much recent interest. A promising candidate material is titanium nitride. In the plasmonic applications, TiN needs to be fabricated as nanoparticles to perform localized plasmonic resonance. Glancing angle deposition (GLAD) is adopted in a magnetron sputtering system to grow TiN nanorod arrays. Before glancing deposition, the thin film was deposited at normal incident of vapor flux, the ratio of nitrogen to argon and an additional 100V bias was varied to change the real part and imaginary part of the permittivity of TiN. The primary assessment of the metallic character was therefore carried out using spectroscopic ellipsometry. X-ray Photoelectron Spectroscopy (XPS) and X-ray Diffractometer (XRD) were used to derive the chemical analysis and lattice orientation of TiN nanorod arrays, respectively The polarization dependent plasmonic modes including the Longitudinal Plasmon Mode and the Transverse Plasmon Mode are investigated by analyzing the extinctance spectra. It is demonstrated that the TiN nanorod arrays with different permittivity values can be obliquely deposited to exhibit plasmon resonance at difference wavelengths.
Стилі APA, Harvard, Vancouver, ISO та ін.
18

Yueh-Hua, Kuo. "Gas barrier layer deposited by Atomic Layer Deposition on polymeric substrate." 2006. http://www.cetd.com.tw/ec/thesisdetail.aspx?etdun=U0001-2807200611032100.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
19

Kuo, Yueh-Hua, and 郭月華. "Gas barrier layer deposited by Atomic Layer Deposition on polymeric substrate." Thesis, 2006. http://ndltd.ncl.edu.tw/handle/20349655102814372753.

Повний текст джерела
Анотація:
碩士
國立臺灣大學
材料科學與工程學研究所
94
In this study, we have successfully deposited an Al2O3 inorganic layer by atomic layer deposition (ALD) on polyimide (PI) substrates to achieve the requirement of OLEDs, 10−3 c.c./m2.day of OTR. Previous literatures have shown that transmission of gases through intrinsically impermeable films proceeds through microscopic defects in the films. The particles cause incomplete coverage of the PI surface by a barrier, which lead to ineffective water vapor and oxygen barriers. We demonstrated that the step coverage of the Al2O3-ALD barriers were far superior to those deposited by other methods. We observed that a 113 Å Al2O3-ALD barrier is adequate to fully cover particles that were up to 6.01 μm in height, which are larger than the size of particles typically found on the surface of a PI substrate. The size of particles found on the surface of a PI substrate were small than 5μm in height. Therefore, the effects of particle-induced defects on permeation can be eliminated with an Al2O3-ALD that is thicker than 113-Å. Despite having adequate thickness to fully cover particles, our ALD barriers failed to show expected barrier performance, because the PI substrate lacked chemisorption sites that are critical for the barrier to achieving complete surface coverage. We developed a wet treatment method that effectively created chemisorption sites on the PI substrate, which significantly improved the barrier performance of the resulted barriers. Having determined the minimum required thickness, and achieved desired surface properties, we optimized the deposition conditions of the ALD barriers, which are: deposition temperature at 300℃, TMA/ pulse 0.1s/ exposure 5s/ pumping 5s/Water/ pulse 0.1s/ exposure 30s/ pumping 5s, and critical thickness 77Å. Besides studying ALD barriers, we developed a simple, yet sensitive, permeation measurement method based on helium permeation to evaluate the barrier properties. We measured the helium permeability of the ALD barriers at different temperatures to determine the activation energy of permeation. Employing the optimal thickness, surface treatment, and deposition conditions, we produced ALD barriers that reduced the PI substrate’s helium transmission rate (HeTR) from 1040 to 13 c.c./m2.day. These barriers caused the substrate’s activation energy of permeation to increase from 19.88 KJ/mole to 54.76 KJ/mole, indicating that gas permeation through the ALD barrier was not due to flow mostly through macroscopic defects, which is the permeation mechanism for all other known barriers. OTR value of the optimized ALD barrier, whose HeTR is 13 c.c./m2.day, could not be measured, as it exceeded the lower limit of the methods available to us. Based on known transport mechanisms of gases through a porous membrane, we estimated the ALD barrier’s OTR to be below 1.1 × 10-3 c.c./m2.day, which satisfies the requirement of OLEDs.
Стилі APA, Harvard, Vancouver, ISO та ін.
20

Kuo, Yen-ching, and 郭燕靜. "Silicon Thin Films Deposited on Self-Assembled Monolayer Modified Quartz Substrate." Thesis, 2014. http://ndltd.ncl.edu.tw/handle/59837196313713693994.

Повний текст джерела
Анотація:
碩士
國立臺灣科技大學
化學工程系
102
This research is focused on the silicon thin film deposited on the self-assembled monolayer (SAM) modified quartz substrate by the physics vapor deposition system. Furthermore, using the solid phase crystallization (SPC) method to make the amorphous silicon film transform into the poly-silicon film. Finally, the properties of silicon films on pristine and SAM-modified substrates such as the degree of crystallization and surface morphology were characterized by scanning electron microscope (SEM), Raman spectroscopy, and X-ray diffraction (XRD).   First, we deposited the silicon on the non-modified quartz substrate to get the optimization parameter. Afterward, the amorphous silicon film was annealed, the structure of silicon transformed from amorphous phase into poly crystalline, getting the phase transformation temperature. We fabricated the SAMs to modify the quartz substrate to influence the crystalline of the silicon film. From the result, -CH3 SAM could improve the degree of crystallization.
Стилі APA, Harvard, Vancouver, ISO та ін.
21

Chen, Chia-Wei, and 陳佳緯. "Fabrication of AlN Films Deposited on Al Substrate by Microwave Plasma." Thesis, 2013. http://ndltd.ncl.edu.tw/handle/97673611134893326479.

Повний текст джерела
Анотація:
碩士
龍華科技大學
工程技術研究所
101
In this study, the microwave plasma nitriding method will be carried out for the aluminum nitride surface treatment way to explore the formation and research. Research methods to change process parameters include base temperature, reaction gas ratio,microwave power to microwave plasma nitriding treatment. Analysis results base temperature 550℃, reaction gas ratio N2:H2=50:150sccm, microwave power 1000W to better nitridation reaction Finally, In this study, the best parameters are: microwave power 1000W, Reaction gas N2:H2=50:150sccm and base temperature 550℃to microwave plasma nitriding treatment, nitrided aluminum plate specimen analysis,the results showed that nitrogen ratio can be as high as 23.38% and a thickness of 15μm.
Стилі APA, Harvard, Vancouver, ISO та ін.
22

Chen, Szu-Chen, and 陳思成. "The properities of transparent ductivite oxides ITO deposited on flexible substrate." Thesis, 2003. http://ndltd.ncl.edu.tw/handle/81513409360726131934.

Повний текст джерела
Анотація:
碩士
國立成功大學
材料科學及工程學系碩博士班
91
Transparent and conductive ITO thin film were prepared on plastic substrate by RF magnetron sputtering. The relationship between structure characteristics and optical as well as electrical properties was investigated in this study. For producing the LCD (Liquid Crystal Displays), the transparent conductive films are generally deposited on the glass. Because plastic substrates have the advantages of low cost, light-weighted, and rugged characteristics, it was considered as the materials of the next period for the substrate of LCD. While in production process and in practical use, the problems of heat resistance ,and thermal expansion must be overcome. We have introduced a new technology into the sputtering of indium-tin oxide (ITO) films. The techniques process are called “ intermittent thin film growth ”by us .During deposition process ,we make the substrate rotated and therefore increasing thin film cooling time .By this way , we were deposited ITO thin film on the plastic substrate in high RF power deposition because high thin film stresses are induced and resulting in peeling of a film. Whatever the intermittent thin film growth can avoid the deformation or the curl of the plastic substrates. From the experimental results, it is found that the ITO thin film and plastic substrate will be damaged by the high substrate temperature and high residual stress when deposition times over 5 min in continuous deposition. But use the “ thin film intermittent growth ”, it is found that the ITO thin film can deposited on plastic substrate successfully. The XRD diffraction shows that the ITO thin film have a micro-grain structure and it can etch faster than polycrystalline thin film in etching process. In this study, we could get the lowest resistivity of 5.75 x 10-4Ω‧cm and over 80% of the average transmittance in the visible region at the condition of intermittent deposition.
Стилі APA, Harvard, Vancouver, ISO та ін.
23

Yuan-HanJhang and 張沅翰. "Tribological performance of multilayer DLC coatings deposited on tungsten carbide substrate." Thesis, 2012. http://ndltd.ncl.edu.tw/handle/46381974324980957241.

Повний текст джерела
Анотація:
碩士
國立成功大學
機械工程學系碩博士班
100
The main purpose of this study is to research the tribological properties and mechanical properties of a-C:H:Zr coatings prepared by sputtering graphite and Zirconium targets with unbalanced magnetron sputtering system (UBMS). It contains third stages of research in the study.The objective is influence of Zr target current on the properties of coatings in first stage. With the increase of the Zirconium targets current to get various Zirconium quantities of coatings in second and third stage, After SRV tribological test we verify that the coating prepared under the 0.4 A current has highest wear resistance and adherent properties. In second stage, we select to current of 0.4 A based on first stage. Thus, although a DLC has excellent properties a practical problem with them is that stress increases with the increase in hardness.When the adhesiveness between a film and a substrate is not so good, a film can partially ablate. An improvement of the adhesiveness with a substrate has been studied multilayer in the interlayer.we change the multilayer to interlayer,has one multilayer to four multilayer.It has been found two multilayer in the interlayer, improves the adhesion between the coating and substrate. Finally, we follow the second stage, discover the hardness also low. We have to improve the hardness, it design the multilayer films. It contain hard and soft layer in the multilayer films. It has been found eight multilayers, improves the hardness and a characteristic tribological.
Стилі APA, Harvard, Vancouver, ISO та ін.
24

Kuo-YuWang and 王國裕. "Reliability Studies of Mo Back Contact Deposited on Flexible Polyimide Substrate." Thesis, 2012. http://ndltd.ncl.edu.tw/handle/89296882155568623504.

Повний текст джерела
Анотація:
碩士
國立成功大學
電機工程學系專班
100
Fabricating CuInGaSe2 (CIGS) solar cell on flexible substrate can be used for portable charging devices and is compatible with low cost roll to roll manufacturing process. The bending reliability of the Mo films deposited on polyimide (PI) substrate is studied in this thesis. The commercial available PI substrate (Uplilex 125S) was used for this study. Several CIGS solar cells fabricated on Uplilex 125S PI substrate have been published. In this thesis, Mo films were deposited on PI substrate by sputtering. The samples were then attached with wires using silver paste for electrodes. After the wiring, the samples were bended and fixed to six different diameter standard rods (90 mm, 50 mm, 30 mm, 20 mm, 10 mm, and 5 mm) for testing. The resistance changes under tensile or compressive bending stress were measured. Cracked Mo films were examined by scanning electron microscopy (SEM) for correlation to the resistance changes. Whether 400oC annealing can improve bending reliability of the Mo film was also investigated. In addition, reliability of Ag or Al doped Mo films as compare to pure Mo film were studied.
Стилі APA, Harvard, Vancouver, ISO та ін.
25

Chen, Chien-Ting, and 陳建廷. "Study of Ga-doped ZnO thin film deposited on flexible substrate." Thesis, 2018. http://ndltd.ncl.edu.tw/handle/8t95ch.

Повний текст джерела
Анотація:
碩士
義守大學
電子工程學系
106
In this study, the photoelectric characteristics of gallium-doped zinc oxide(GZO) thin film deposited on flexble substrate was improved by adjusting the thickness of buffer layer and cover layer, different gas flow and intermittent process. At first, quantity of different oxygen as 0.6, 0.8, 1.0, 1.2 sccm is designed and fixed 80 nm GZO thickness. Then differernt thcikness of buffer layer as 60, 80, 100, 120 nm and cover layer as 20, 40, 60, 80nm had been grown, the intermittent time (IT) between buffer and cover layer is fixed as 7.5 min, and then is designed as 2.5, 5.0, 7.5, 10 min. Finally, the different nitrogen as 0.4, 0.8, 1.2 sccm were used to improve thin film. The resistivity and transmittance were measured by Hall-effect measurement and UV-VIS Spectrophotometer, the optimun figure of merit is calculated. The crystallographic, microstructures and suface roughness were analyzed by X-ray diffraction (XRD), field emission scanning electron microscope (FE-SEM) and atomic force microscope (AFM).The results shown that the optimum parameter is 100 nm buffer layer and 60 nm cover layer with the quantity of 0.8 sccm oxygen and 0.8 sccm nitrogen, and IT is 5 minutes. The resistivity reduces from 4.12x10-1 to 1.72x10-2 Ω-cm, the average transmittance in visible region increased to 93.61%, the optimum figure of merit is 4.81x10-4 Ω-1. GZO thin film grown on flexible substrate is verified to be improved by optimum design and also has potential to apply on soft electronic devices.
Стилі APA, Harvard, Vancouver, ISO та ін.
26

Chen, Rong-Chinq, and 陳榮慶. "Crystalline characteristic of vacuum-deposited Ge films as function of substrate conditions." Thesis, 1993. http://ndltd.ncl.edu.tw/handle/83865285288880779667.

Повний текст джерела
Анотація:
碩士
國立成功大學
化學工程研究所
81
The structure of Ge films grown by thermal vacuum evaporation has been approached as functions of the different substrates including Si(100)﹑Si(111)﹑GaAs(100) and fused silica, deposi- tion temperature as well as layer thickness by SEM (scanning electron microscopy),Raman scattering, X-ray diffraction and UV- Vis spectroscopy analysis. It is found the structure of Ge films is amorphous for layer thickness 1,000o and substrate temperature below 58℃, yet its crystalline quality strongly depends on the substrate temper- ature. The crystalline grains deposited in lower temperature main growth direction are Ge(111),Ge(220) and Ge(311) faces, but it is epitaxial growth at higher temperature. The epitaxial growth occurs on Si(100) and Si(111) substrates as substrates temperature gets to 250℃ and layer thickness reaches 2,500o. The transmisttance of Ge films is very poor at light wavelength below 600nm. In addition, the transmisttance of crystalline film is harder than amorphous one from 600nm to 1,100nm.
Стилі APA, Harvard, Vancouver, ISO та ін.
27

Chu, Cheng-Yen, and 朱政彥. "Study of ZnO:Ga transparent conducting oxide thin films deposited on flexible substrate." Thesis, 2007. http://ndltd.ncl.edu.tw/handle/94425086839801932381.

Повний текст джерела
Анотація:
碩士
龍華科技大學
工程技術研究所
95
In this study, conducting and transparent gallium doped zinc oxide (ZnO:Ga;97:3 wt %, GZO) thin films have been deposited on polyethylene terephthalate (PET) substrates by radio-frequency (R.F.) magnetron sputtering. Taguchi experimental design was used to clarify the influence of various deposition conditions on the electrical, structural, morphological and optical characteristics for the GZO film. The signal-to-noise (S/N) ratio and the analysis of variance (ANOVA) are employed to study the performance characteristics in coating operations. According to the experimental results, show that the electrical resistivity and transmittance decreased as R.F power increase. During the process of GZO films(for a thickness about 600nm),when the substrate and post-annealing temperature were raised, the lowest electrical resistivity and the highest transmittance in the visible range have been obtained. Furthermore, based on the grey relational analysis, the lowest electrical resistivity of GZO films is found to be about 8.627×10-4 Ω-cm, the transmittance in the visible range was about 90 %.
Стилі APA, Harvard, Vancouver, ISO та ін.
28

Wang, Jyun-Jie, and 王俊杰. "Flexibility Properties of A Transparent Conductive Film Deposited on The Plastic Substrate." Thesis, 2013. http://ndltd.ncl.edu.tw/handle/ywwq3w.

Повний текст джерела
Анотація:
碩士
國立虎尾科技大學
光電與材料科技研究所
101
In this study, Indium Tin Oxide(ITO), Indium Tin Oxide-doped Zinc Oxide(ITO-ZnO) and Aluminum-doped Zinc Oxide(Al-ZnO) transparent conductive films prepared using RF magnetron co-sputtering system deposited onto the flexible plastic substrate and add the appropriate thickness of the buffer layer, an purpose to prepared with a low resistivity, low stress and superior adhesion of the film, using bending test instruments to explore reliability characteristics of film after different curvature and bending frequency. Firstly, thickness of 150nm Indium Tin Oxide film with tensile/compressive strain bending conditions, the critical curvature were 14.1/5.4mm, observe the surface morphologies, the film can endure great compressive strain by external force, the formation of cracks effect the critical crack curvature, after cycle bending test (bending radius of curvature greater than the critical crack curvature), resistance of Indium Tin Oxide film still have no significant change and adhesion can reach the standard value of 4B. Second, deposited organicsilicon film as a buffer layer between Indium Tin Oxide film and plastic substrate at the interface when the organic silicon film thickness of 60nm, the film of residual stress is reduced to -170 MPa, organic silicon and Indium Tin Oxide films structure to reach tensile / compressive stress interleaved stacks, the overall of film compressive stress substantial decline and effectively improve adhesion reach the standard value of 4B. Finally, Indium Tin Oxide, Indium Tin Oxide-doped Zinc Oxide and Aluminum-doped Zinc Oxide of transparent conductive films were analysis by X-ray Diffraction, we can found Indium Tin Oxide-doped Zinc Oxide film is an amorphous structure, but Indium Tin Oxide and Aluminum-doped Zinc Oxide films are poly-crystalline structure, amorphous structure do not have any grains and the external force is not easy for cracks to form, Therefore, Indium Tin Oxide-doped Zinc Oxide film has good bending reliability than Aluminum-doped Zinc Oxide and Indium Tin Oxide films.
Стилі APA, Harvard, Vancouver, ISO та ін.
29

Rahman, Khalifa Mohammad Azizur. "Nanocrystalline Silicon Solar Cells Deposited via Pulsed PECVD at 150°C Substrate Temperature." Thesis, 2010. http://hdl.handle.net/10012/5446.

Повний текст джерела
Анотація:
A series of experiments was carried out to compare the structural and electronic properties of intrinsic nanocrystalline silicon (nc-Si:H) thin films deposited via continuous wave (cw) and pulsed (p)-PECVD at 150°C substrate temperature. Working at this temperature allows for the easy transfer of film recipes from glass to plastic substrates in the future. During the p-PECVD process the pulsing frequency was varied from 0.2 to 50 kHz at 50% duty cycle. Approximately 15% drop in the deposition rate was observed for the samples fabricated in p-PECVD compared to cw-PECVD. The optimum crystallinity and photo (σph) and dark conductivity (σD) were observed at 5 kHz pulsing frequency, with ~10% rise in crystallinity and about twofold rise in the σph and σD compared to cw-PECVD. However, for both the cw and p-PECVD nc-Si:H films, the observed σph and σD were one to two orders and three orders of magnitude higher respectively than those reported in literature. The average activation energy (EA) of 0.16 ∓ 0.01 eV for nc-Si:H films deposited using p-PECVD confirmed the presence of impurities, which led to the observation of the unusually high conductivity values. It was considered that the films were contaminated by the impurity atoms after they were exposed to air. Following the thin film characterization procedure, the optimized nc-Si:H film recipes, from cw and p-PECVD, were used to fabricate the absorber layer of thin film solar cells. The cells were then characterized for J-V and External Quantum Efficiency (EQE) parameters. The cell active layer fabricated from p-PECVD demonstrated higher power conversion efficiency (η) and a maximum EQE of 1.7 ∓ 0.06 % and 54.3% respectively, compared to 1.00 ∓ 0.04 % and 48.6% respectively for cw-PECVD. However, the observed η and EQE of both the cells were lower than a reported nc-Si:H cell fabricated via p-PECVD with similar absorber layer thickness. This was due to the poor Short-circuit Current Density (Jsc), Open-circuit Voltage (Voc), and Fill Factor (FF) of the cw and p-PECVD cells respectively, compared to the reported cell. The low Jsc resulted from the poor photocarrier collection at longer and shorter wavelengths and high series resistance (Rseries). On the other hand, the low Voc stemmed from the low shunt resistance (Rsh). It was inferred that the decrease in the Rsh occurred due to the inadequate electrical isolation of the individual cells and the contact between the n – layer and the front TCO contact at the edge of the p-i-n deposition area. Additionally, the net effect of the high Rseries and the low Rsh led to a decrease in the FF of the cells.
Стилі APA, Harvard, Vancouver, ISO та ін.
30

Lin, Jun-Liang, and 林俊良. "TiN/Ti thin film on flexible PET substrate deposited by RF magnetron sputtering." Thesis, 2008. http://ndltd.ncl.edu.tw/handle/91201195785348378561.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
31

Liu, Ming-Yueh, and 劉明岳. "Properties of diamond-like carbon film deposited on acrylic substrate and Si wafer." Thesis, 1999. http://ndltd.ncl.edu.tw/handle/22941020370047606203.

Повний текст джерела
Анотація:
碩士
國立交通大學
材料科學與工程系
87
The purpose of this research was to deposit a protective diamond-like carbon (DLC) film on acrylic substrates for optical applications. To search for the important deposition parameters for RF sputtering and ion beam deposition systems, at first, the P-type (100) Si wafers were used to study the deposition processes. The ion beam deposition system, which was proved to be more suitable for this case, was then chosen to deposit DLC films on acrylic substrates. Effects of silicon resin and Si oxides as the interlayers were also studied. The deposited films were examined by AFM, Raman spectroscopy, microhardness and nano-indentation techniques, ESCA and dual beam spectrometry to evaluate their structures and properties. From the experimental results, the following conclusions can be drawn. For the cases of Si wafer substrates, the results show that the sp3/sp2 ratios of the RF sputtered DLC films were high and would increase as increasing the hydrogen flow rate. In contrast, the ion beam deposited films demonstrated a even higher sp3/sp2 ratio, less surface roughness (0.39nm), higher nano-indentation hardness (up to 13.50 GPa) and less deposition temperatures (<70℃). Therefore, the gridless ion beam deposition method is believed to be more suitable for the present purpose. For the cases of acrylic substrates, the results of the indentation adhesion testing show that the adhesion index, dP/dx, increases from 0.56gf/mm to 0.59gf/mm with SiO2 as the interlayer. It indicated that the SiO2 interlayer does enhance the film adhesion without greatly sacrificing optical transmittance of visible lights. The results also show that microhardness of the films increases as increasing the deposition time, and can go up to 2.70 GPa at the deposition time of 7.5 min. In other words, the ion beam deposited films can rise the microhardness of the substrate more than ten times, and greatly improve their wear resistance. However, it was found that a higher hardness of the films is accompanied by a rougher surface. In consequence, the transmittance of the visible lights can deteriorate to 67~89% by increasing the surface roughness from 2.18 nm to 2.23 nm. This implies that the surface roughness is one of the major factor affecting the optical transmittance. In other words, the best deposition conditions for a protective DLC film on acrylic substrates for optical applications depend on the compromise between the desired wear resistance and optical transmittance.
Стилі APA, Harvard, Vancouver, ISO та ін.
32

TING, WEI-CHIEH, and 丁偉傑. "A Study of Lateral RRAMs Using ZnO Films Deposited at Different Substrate Temperatures." Thesis, 2016. http://ndltd.ncl.edu.tw/handle/20742150104221915586.

Повний текст джерела
Анотація:
碩士
國立雲林科技大學
電子工程系
104
In this work, resistive switching (RS) characteristics of ZnO films via lateral conducting filaments with a long length up to 250 μm were demonstrated. The ZnO films were deposited at a low radio frequency power of 50 W while the working pressures was maintained at 3 mtorr. We controlled the substrate temperature during the sputtering process to modify the crystallinity and oxygen vacancy concentration of the ZnO film. At low substrate temperatures of 25-160 °C, the ZnO resistive random access memories (ReRAMs) show high conduction currents and the RS behavior is absent. Optimal temperatures of 240-320 °C were found to be suitable for obtaining RS characteristics of the ZnO ReRAMs with electrode distances of 10-250 μm. A much higher temperature of 400 °C leads to an extremely low conduction current and the electrical characteristic cannot be measured. However, the carrier conduction mechanism of the ZnO ReRAM was also studied. The deposition rates, transmittances, energy bandgaps, crystallinities, grain sizes, grain orientations, and distinct RS characteristics of the ZnO films deposited at temperatures of 25-400 °C were investigated. The thicknesses of the deposited ZnO films were examined by using a field emission scanning electron microscope (SEM); The crystal orientations were characterized by using XRD; The optical transmittances were measured by an UV-vis spectroscopy in the wavelength range from 300 nm to 800 nm; The chemical bonding of the ZnO films were examined by the XPS; A Keysight B1500A semiconductor parameter analyzer was used to measure the current-voltage (I-V) characteristics of the planar ZnO ReRAMs.
Стилі APA, Harvard, Vancouver, ISO та ін.
33

Wan-YiYe and 葉宛宜. "Flexible IGZO thin-film transistor deposited on PET substrate using wet etching method." Thesis, 2011. http://ndltd.ncl.edu.tw/handle/45829652096561623846.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
34

Tang, Shih-Chieh, and 湯士杰. "Measument of Thin Film Stress in Deposited Sapphire Substrate Using Twyman-Green Interferometer." Thesis, 2011. http://ndltd.ncl.edu.tw/handle/36745813021894171181.

Повний текст джерела
Анотація:
碩士
國立交通大學
機械工程學系
100
In the epitaxial process of gallium nitride (GaN) deposition on a sapphire wafer, the large residual stress usually results in wafer bowing because of lattice and thermal misfit between both thin film and substrate. The residual stress comprises of intrinsic stress and thermal stress. The Stoney stress formula for wafer bowing was amended, and the residual stresses in the thin film are modeled as biaxial forces acting at the sapphire substrate which is an anisotropic material. In this study, Twyman-Green interferometer was used to measure the surface topography of GaN deposited sapphire substrate. After reduction of interferogram noise by the morphology image process, the image thinning algorithm was used to locate the center of interference fringes. The Zernike polynomials were employed to describe a wave front of sapphire substrate by surface fitting. The residual stress was determined according to the curvatures calculated by finite differences of those surface fitting data of the measured topography.
Стилі APA, Harvard, Vancouver, ISO та ін.
35

Gao, Jian-Fa, and 高健發. "The Structures and Magnetic Properties of NiFe/NiO Bilayers deposited on Antidot substrate." Thesis, 2012. http://ndltd.ncl.edu.tw/handle/40003868664953770568.

Повний текст джерела
Анотація:
碩士
國立中興大學
材料科學與工程學系所
100
This study uses a dual ion beam sputtering system prepare samples of Ni80Fe20/Ni- oxide (16% O2/Ar) bilayer films, it can be divided into two parts, (i) to explore the microstructure and magnetic properties of NiFe single layer; (ii) o to explore the microstructure and magnetic properties of NiFe/NiO bilayer; We expect that by use Antidot substrate cause the magnetic anisotropy, and to explore the impact caused by the magnetic properties. NiFe single layer film: X-ray diffraction results show that the NiFe single layer film was plated in antidot substrates and SiO2 are both FCC structure, the lattice constant are about 3.54 A. Atomic force / magnetic force microscopy studies have shown that: the rRMS of patterned NiFe ~ 0.39 nm. The magnetic properties: The coercivity of patterned NiFe is about 2 Oe same with of the NiFe continuous film at room temperature, but it can observe the different shape of hysteresis curve mainly caused by the defects formed by the isotropic of pattern NiFe. Cold field (20 kOe) to low temperature (T = 5 K), the magnetic properties studies have shown that: The coercivity of pattern NiFe monolayer is about 42 Oe lager than continuous film 34 Oe, mainly caused by the patterned substrate with the shape anisotropy, but it can observe the symmetry of the hysteresis curve, and no obvious exchange bias field (+ / - 2 Oe). NiFe /NiO bilayer films: X-ray diffraction results show that the patterned NiFe/NiO and NiFe/NiO continuous film has the same structure. The atomic force / magnetic force microscopy studies have shown that: The rRMS of patterned NiFe/NiO is ~ 0.87 nm, the rRMS of continuous film of NiFe/NiO is 0.27 nm. The magnetic properties: The coercivity of patterned NiFe/NiO is about 40 Oe lager than the NiFe/NiO continuous film 30 Oe at room temperature. Cold field (20 kOe) to low temperature (T = 5 K) magnetic properties studies have shown that: The coercivity of patterned NiFe/NiO is about 160 Oe lager than the NiFe/NiO continuous film 110 Oe. And the exchange bias of pattern NiFe/NiO -80 Oe is also lager than the continuous NiFe/NiO -20 Oe, and hysteresis curves are not symmetry, The magnetic moment due to the generation of interface between sectors or the interface between dislocation or rearrangement.
Стилі APA, Harvard, Vancouver, ISO та ін.
36

Dang, Thi Thuy Chi, and 鄧氏垂芝. "Investigations of Excimer Laser Annealing on ITO deposited on polymer substrates and substrate effect on the optical band gap shifting." Thesis, 2011. http://ndltd.ncl.edu.tw/handle/97894638075537059472.

Повний текст джерела
Анотація:
碩士
國立交通大學
材料科學與工程學系
99
For the development of flexible microelectronic device technology, indium-tin-oxide (ITO) on polymer substrates has attracted interest recent years. Therefore, development of a novel post treatment method to improve properties of ITO film without damage polymer substrate is an important key issue for successful application as a transparent electrode material. In this study, a KrF excimer laser source has been used to anneal ITO deposited on polymer substrates, which are polyethylene terephthalate (PET) and ODPA-BADB polyimide (PI). The electrical and optical properties of ITO films following various laser shots number were further investigated. For microstructure, after laser irradiation, the ITO film from amorphous phase changes to crystalline phase. For room temperature ITO deposited on PET following laser irradiation, the ITO films show reduced surface roughness, 42% reduction of resistivity (4.3×10-4 Ω-cm) and enhanced carrier concentration of 5×1021 cm-3 (14 times increase). For higher temperature ITO deposited on ODPA-BADB PI substrate at 200oC following laser irradiation, it shows very low resistivity, which around 3.2×10-4 Ω-cm. The substrate effect on optical band gap shift of ITO films was also investigated. It has been shown that, the optical band gap of ITO film does not only depend on ITO films itself such as heavy carrier concentration and impurity, but also be affected by different substrates. The different in tensile stress induced by the ITO film and polymer substrate due to the different of coefficient thermal expansion (CTE) mismatch leads the optical band gap shift of ITO film.
Стилі APA, Harvard, Vancouver, ISO та ін.
37

Wang, Tse-Wen, and 王澤文. "Characteristic of Graphene Oxide Resistive RAM (RRAM) with Different Size Deposited on PEN Substrate." Thesis, 2015. http://ndltd.ncl.edu.tw/handle/eq3t45.

Повний текст джерела
Анотація:
碩士
逢甲大學
電子工程學系
103
This thesis studies the characteristic and the reliability of graphene oxide (GO) resistive RAM (RRAM) with different sizes deposited on PEN substrate as the flexible RRAM (FRRAM) application. The characteristic of FRRAM under bending status and the characteristic of GO RRAM with BF2-ions doping are also studied. In this study, an aluminum is used as the top and bottom electrodes, and the GO film is deposited by spraying-on deposition. The study of graphene oxide flexible RRAM is divided into two categories, one is the comparison of different GO thicknesses of 2 layers (38 nm), 3 layers (55 nm) and 4 layers (65 nm), and the FRRAM characteristic under the bending status. The results show the FRRAM with 3 layers presents 103 switching cycles and more stable switch. The retention can reach to 104 s without degradation. Besides, the three kinds of GO thicknesses show the larger current jumping and unstable switch under the bending status. Another one is in comparison with different top circle electrode sizes of diameter 200 μm, 150 μm and 100 μm, and the FRRAM characteristic under the bending status. According to the experimental results, as dimension scaling down, the high resistance state (HRS) reveals more stable switch, but owing to the dimension is smaller, there are too much oxygen ions gathered in the same path, resulting in the lower switch cycles and retention degradation. It shows the current with large range jumping and unstable switch under the bending status. In addition, the resistance ratio and operating voltage also tends to increase.   The study of graphene oxide RRAM with BF2-ions doping can be divided into two categories, one is in comparison with undoped GO and doped BF2-ions RRAMs. The results show the RRAM with BF2-ions doping, the switch behavior obvious unstable, but the HRS to LRS ratio is increase. The other is in comparison with BF2-ions doped GO RRAM without annealing, 250ºC annealing and 350ºC annealing. After annealing, its switch behavior is obvious more stable, but the HRS to LRS ratio is decrease. The RRAM with 350ºC annealing presnets the HRS to LRS ratio is larger than that of 250ºC annealing.
Стилі APA, Harvard, Vancouver, ISO та ін.
38

Lin, Mei-Wen, and 林美妏. "Investigation on the Performance of PLED with ZnO:Al Anode Deposited on Soft Polymer Substrate." Thesis, 2008. http://ndltd.ncl.edu.tw/handle/85997402769186970779.

Повний текст джерела
Анотація:
碩士
國立成功大學
光電科學與工程研究所
96
The Aluminum-doped zinc oxide (ZnO:Al, AZO) thin films were deposited on soft polymer substrates with a RF magnetron co-sputtering method. In this research, we divide them into three parts to study. The First part: AZO thin films were deposited on PC substrates at room temperature, and the Al content was controlled by varying Al RF power (PAl=0~35 W), we investigated the influence of Al contents on the structure, composition, electrical and optical properties of AZO films. The second part: same as the previous part we investigated the physical properties of AZO thin films deposited in different Al content, however, with PC substrates measured in 200 ℃. The third part: we chose PI and PET as the soft substrates, and investigated substrates influence on physical properties of AZO thin films. The AZO films then were used for the anode contact of PLED devices. The PLED devices structure consisted of a hole transport layer (HTL) was PEDOT:PSS, an emitting layer (EML) was PF. The cathode contact deposited on top of the EML was a bi-layer consisting of Ca and Al layer. The electro-luminescence performances of the devices were studied. The AZO thin film, which was prepared at room temperature at PAl= 25 W, present the lowest resistivity (ρ=7.75×10-4 Ω-cm) and high transmittance (89 %). The performance of PLED had the best rectification ratio was 410.3 in this series. We also obtained the AZO thin film which has low resistivity (ρ=7.63×10-4 Ω-cm) and high transmittance (91 %) by heating the substrate temperature to 200 ℃ at PAl= 25 W. The performance of PLED has the largest brightness of 4143 cd/m2 at 10.7 V. Finally, the physical properties of the AZO thin films won’t be changed by the difference of the soft substrates.
Стилі APA, Harvard, Vancouver, ISO та ін.
39

Chih-weiHuang and 黃致崴. "The study of SiOx thin-film deposited on optical plastic substrate by atmospheric plasma." Thesis, 2013. http://ndltd.ncl.edu.tw/handle/30289655866436262001.

Повний текст джерела
Анотація:
碩士
國立成功大學
化學工程學系碩博士班
101
This study established a set of continuous atmospheric pressure plasma system, treating surface for optical plastic substrate .The atmospheric plasma surface treatment is an economic and efficient method for low temperature surface treatment. Compared with the low-pressure plasma treatment, the atmospheric plasma chemical vapor deposition owns many advantages including atmospheric operation, in-line operation, no vacuum equipment needed, good compatibility with other processes, low equipment ,operation and maintenance cost, and high throughputs. In this experiment, HMDSO is a SiOx thin-film precursor which is deposed on optical plastic PC, PMMA substrate by PECVD. The study focus on two aspects, one is to study factors which affect the film and substrate adhesion; the second is to explore the impact of operation process on the chemical composition of the film. In this study, by controlling the coating parameters (Carrier gas flow, Scan speed, distance coated, coating time) and using different fabrication processes which modulating the thickness of SiOx films, various types of film with different surface properties and chemical composition are obtained. The surface can be highly hydrophilic (water contact angle of less than 5 degrees) or ultra-high anti-adhesion properties (water contact angle greater than 100 degrees). This experiment has been successfully deposited SiOx films of good adhesion on the PC substrate, and after modifying by hydrophobic process, it can withstand abrasion test up to 3000 times.
Стилі APA, Harvard, Vancouver, ISO та ін.
40

Chang, Ping-kuan, and 張評款. "The Study of BZT Thin Film Deposited on ITO Substrate by RF Magnetron Sputtering." Thesis, 2006. http://ndltd.ncl.edu.tw/handle/63398095514544935756.

Повний текст джерела
Анотація:
碩士
國立中山大學
電機工程學系研究所
94
In this study, the reactive rf magnetron sputtering was used to deposit Ba(Zr0.1,Ti0.9)O3 (BZT) ferroelectric thin films on ITO/Glass substrate, and MFM structure was fabricated. The effects of various sputtering parameters on the characteristics of thin films, such as the oxygen concentrations, substrate temperature, rf power and chamber pressure were discussed, and then the optimal sputtering parameters were determined. The annealing process of rapid thermal annealing (RTA) and conventional thermal annealing (CTA) were used to promote the ferroelectric characteristics. The physical characteristics of BZT thin films were obtained by the analyses of SEM, XRD patterns and AFM morphologies. The surfaces, cross-section, crystallization and surface roughness of thin films were discussed. To investigate the electrical properties, the capacitance-voltage (C-V), current-voltage (I-V) and P-E hysteresis characteristics of BZT thin films were measured by the HP4284A impedance analyzer, HP4156C semiconductor parameter analyzer and computerized radiant technology (RT66), respectively. Furthermore, characteristics of the MFM structure were discussed. From the experimental results, the dielectric constant with optimal sputtering parameters was about 84, and the leakage current of thin film was about 6 × 10-8 A/cm2 when the applied electrical field of thin film was at 0.4 MV/cm. The remanent polarization (Pr) and coercive field (Ec) were 2.87 μC/cm2 and 259 kV/cm from the P-E hysteresis loops, respectively. In addition, the ferroelectric characteristics of the thin film could be improved after rapid thermal annealing at 550℃ for 3 minutes. The remanent polarization (Pr), coercive field (Ec) and saturated polarization (Ps) were 3.42 μC/cm2, 266 kV/cm and 6.99 μC/cm2, respectively.
Стилі APA, Harvard, Vancouver, ISO та ін.
41

Liu, mei-yi, and 劉美怡. "The study of property and changing thermal process of ITO deposited on different substrate." Thesis, 2012. http://ndltd.ncl.edu.tw/handle/12200281721837829612.

Повний текст джерела
Анотація:
碩士
國立中興大學
材料科學與工程學系所
100
This reported that using 90wt% In and 10wt% Sn indium tin alloy as target, applying DC & RF sputter to prepare the transparent conductive films of single-layer ITO (Indium tin oxide) deposited on substrates of glass, transparent photo resist, or flexible plastic substrate PET (polyethylene terephtalate). The difference is compared between before and after heat treatment, and the film characteristics of resistance, conductivity, transmittance, and micro-structure is analyzed.. For the properties of the films detection, four-point probe is used to measure the conductivity of the films, and Cary 300 (UV-Visible Spectrophotometer) is used to measure the optical transmittance of the films, field emission scanning electron microscope (FE-SEM) is used to analyze surface morphology, and XRD diffraction is used to analyze micro-structure of the film. The result shows: 1.Comparing surface resistance (Rs) after annealing of three base materials (Glass, OG, PET) coated with single-layer ITO, glass substrates (80ohm / square) is the best, OG (87ohm / square) followed , PET (157 ohm / square) the third. 2.Comparing transmittance (T%) after annealing of three base materials (Glass, OG, PET) coated with single-layer ITO, glass substrates (91%) is the best, 3.Observing SEM image, membranous of ITO film is fluffy before annealing, and rearranged lattice is denser after annealing 4.From XRD image, ITO films (222) after heat treatment has more intense diffraction peak and better ITO thin film crystalline. The result shows that the transparent conductive films of single-layer ITO (Indium tin oxide) deposited on substrates of glass, transparent photo resist, or flexible plastic substrate PET (polyethylene terephtalate). With heat-treatment, its ITO area resistance 、optical transmittance is reached the requirement the commercial use (touch panel).
Стилі APA, Harvard, Vancouver, ISO та ін.
42

Lo, Chin-wei, and 羅志緯. "Study on TiO2 photocatalyst deposited on Ti/Si substrate with and without nitrogen doping." Thesis, 2006. http://ndltd.ncl.edu.tw/handle/a3vr4d.

Повний текст джерела
Анотація:
碩士
國立臺灣科技大學
化學工程系
94
The study is to deposit TiO2 photocatalyst thin films on Ti/Si substrate with and without nitrogen doping in a R.F. magnetron sputtering system. The crystal structure, surface morphology, chemical composition and other characteristics of TiO2 or TiO2-xNx films were also investigated with different gas flow ratios and thermal treatments. Finally, the photocatalytic effect were examined by the use of silver reduction and contact angle measurement. Experimental results indicated that after thermal oxidation the TiO2 film showed remarkable silver reduction and hydrophilic behaviors under UV light. When TiO2 films were deposited by reactive sputtering, a layer of Ti had to be deposited before hand on the substrate. The TiO2 films deposited on Ti/Si substrate could form Anatase structure and the photocatalytic effect increased with increasing O2 flow rate. After annealing in air at various temperatures, the photocatalytic effect was be enhanced. Especially with Ar/O2=2(O2=2sccm) and annealing at 500℃, the films formed Anatase and Rutile mixed phase structure and had better photocatalytic effect than other deposition and thermal treatment conditions under exposure to UV light . N2/O2 flow ratio and target material played very important roles for the deposition of TiO2-xNx films. The deposition films were TiO2 at N2/O2=1.75(O2=4sccm) and nitrogen atom were difficult to be doped into. The nitrogen atom existed in TiO2 by chemical adsorption. But the TiN crystal structure appeared with N2/O2=3.5(O2=2sccm) which affected the photocatalytic effect with exposured to visible light. When N2/O2=2.33(O2=3sccm), films with a concentration of 2.1 at.% β-N with only Anatase structure was grown. It showed remarkable silver reduction result with exposure to visible light and the 59.6% decrease in contact angle. When the target changed from Ti to TiN, the amount of β-N increased in the TiO2-xNx film. The TiO2-xNx film contained 3.2 at.% β-N deposited with TiN target at N2/O2=2.33(O2=3sccm). It had better photocatalytic effect with exposure to visible light.
Стилі APA, Harvard, Vancouver, ISO та ін.
43

hui, Liao bo, and 廖博輝. "Multilayer transparent conductive films on plastic substrate deposited by Self-made DC-magnetron sputtering." Thesis, 2003. http://ndltd.ncl.edu.tw/handle/32550101269372911865.

Повний текст джерела
Анотація:
碩士
輔仁大學
物理學系
91
Abstract This text improves the known transparent conductive optical design (ITO/Ag/ITO/SiO2)and we use the computer program (Essential Macleod) to better its conductivity and transmittance. First we use the self-made DC-sputters and DC-power supply to deposit the single layer of TiO2, Ag and ITO. Then we measure its thickness and refractive index . Finally we sputter TiO2, Ag and ITO in sequence on the large plastic substrate and measure its resistance and transmittance to make it conform these functions: (a) to reflex electromagnetic wave (b) to avoid the vabin sheet frosting or misting (c) against electromagnetic pulse
Стилі APA, Harvard, Vancouver, ISO та ін.
44

Wu, Chia-Yu, and 吳家宇. "Measurement of Curvature and Stress in Deposited Sapphire Substrate Using Dual Beam Optical Method." Thesis, 2011. http://ndltd.ncl.edu.tw/handle/86745604016680971412.

Повний текст джерела
Анотація:
碩士
國立交通大學
機械工程學系
100
ABSTRACT Lattice misfit between the gallium nitride thin film and sapphire substrate often induces intrinsic stress in light-emitting diode (LED) wafers in the epitaxial process. Besides, the thermal mismatch also yields wafer bowing and residual stress in the thin film. The quality of thin-film deposition becomes unstable and followed by peeling or wrinkling if large residual stress remains in the thin film. It results in a need for precise evaluation methods to measure wafer curvature during and after the epitaxial deposition process. The process parameters can then be controlled to reduce the thin film residual stress and to increase the yield rate of epitaxial wafers. This study determines the thin-film residual stress by using the curvature of a GaN-based sapphire wafer measured by a non-contact, dual beam optical method. Two parallel beams of laser light are incident to the warped surface of a test wafer. The laser beams reflected from the curved surface do not remain parallel. A charge-coupled device camera was used to detect the relative distance of two reflected beam spots projected on a rotating ground glass screen. The curvature is determined in accordance with the geometric relation between the wafer bow and deflection of light beams. In addition, the conventional Stoney formula for thin film residual stress was amended for the anisotropic substrates under bi-axial stresses using the classical plate theory. The measuring components and system were evaluated to reduce the standard deviation of data set. The measured results were compared to those obtained by Twyman-Green interferometer. Both have a good agreement in tendency. Dual beam optical method follows geometric optics and differs from interference method. The present method is not easily interrupted by environment, and it is suitable to be applied in a compact space for epitaxial process monitoring. Keywords:dual beam optical method, measurement of wafer curvature, thin-film residual stress
Стилі APA, Harvard, Vancouver, ISO та ін.
45

Shue, Yew-Bin, and 徐耀斌. "Substrate bias effect on amorphous diamond-like carbon films deposited by filtered cathodic arc system." Thesis, 2000. http://ndltd.ncl.edu.tw/handle/64630020977513269711.

Повний текст джерела
Анотація:
碩士
國立交通大學
材料科學與工程系
88
Substrate bias effect on amorphous diamond-like carbon films deposited by filtered cathodic arc system Student : Yew-Bin Shue Advisor : Dr. Chia-Fu Chen Institute of Materials Science and Engineering National Chiao Tung University Abstract In the field of the magnetic recording technology, there is a strong focus on increasing the storage capacity in computer disk drives. The areal density is predicted to reach 10 Gbit/in2 in the next few years. In order to reach this goal the spacing between the magnetic head (read/write transducer) and the magnetic media must reduced without the slider to actually come in to contact with the disk. One obvious way to reduce the magnetic spacing is to reduce the thickness of the protective overcoats. For example, an areal density of 3 Gbit/in2 needs no more than 50 nm magnetic spacing and 10 nm diamond like carbon (DLC) overcoat on both disk and slider, whereas for 10-15 Gbit/in2 recording density, magnetic spacing must be reduce to 25 nm, and overcoats no more than 5 nm. Present choice of carbon overcoat in the magnetic storage hard disk drive industry is sputter deposited. As overcoats get thinner, the performance/reliability of the sputtered carbon films becomes critical, In order to sustain the phenomenal growth rate in areal density, efforts are under way to develop alternative technology. Ion beam CVD, and electron-cyclotron-resonance chemical vapor deposition (ECR-CVD) are adopted to make 5-10 nm thick DLC films. As overcoat thickness reach 5-7 nm, the mechanical properties and corrosion protection become major challenge for DLC process development. Hydrogenated DLC films produced by Ion Beam CVD or ECR-CVD processes have 20-50 % hydrogen by atomic weight and 40-70 % of sp3 content. The films made by these processes may not meet film continuity, mechanical and corrosion requirements at the ultra-thin level (<10 nm). This motivated researchers to develop a new process to produce hydrogen-free, tetrahedral bonding dominated amorphous carbon films by cathodic arc deposition. In the present study, we briefly describe the 45-degree angle magnetic filter cathodic arc deposition process and investigate the influence of substrate bias on hardness of amorphous diamond-like carbon films. And try to correlate the microstructure, chemical composition, and chemical bonding states with hardness of the corresponding films. After deposition, the film properties were analyzed by Raman spectroscopy, Auger Electron Spectroscopy (AES), Fourier transform infrared spectroscopy (FTIR), Nanoindentation system (NIS). Film surface was examined Atomic force microscope (AFM). It was found that DLC films have highest hardness with substrate bias between —50 V to —100 V and the hardness doesn’t seems to have good correlation with Raman I (D)/I (G) ratio. Films have higher hardness when they have higher fraction of sp3 content. It was also found that nitrogen content increase with increasing substrate bias on nitrogen-doped amorphous carbon films and hydrogen content decrease with increasing substrate bias on hydrogen-doped amorphous carbon films. Nitrogen and hydrogen both has effect on the small graphitic crystalline grows. With examination by AFM, it was found that higher substrate bias and high hydrogen gas flow rate could produce smoother film.
Стилі APA, Harvard, Vancouver, ISO та ін.
46

TsaiCheng-Chung and 蔡政忠. "The Study of Morphology and Adhesive of Glass Substrate Deposited with Transparent Conductive Thin Film." Thesis, 2005. http://ndltd.ncl.edu.tw/handle/07428680194000205083.

Повний текст джерела
Анотація:
碩士
崑山科技大學
機械工程研究所
93
This study analyzes some morphological and mechanical properties of Eagle 2000 glass produced by Corning Co. Ltd. deposited with transparent conductive this film of antinomy doped tin oxide (ATO) under the variations of substrate temperature and oxygen flow rate during sputtering. The surface morphology before and after ATO film sputtering and annealing are measured and used to calculate the surface roughness,warpage and residual stress of the surface during each manufacturing procedure. The elastic modulus and hardness of ATO are tested by the nanoindentation test. The adhesion of the ATO film is tested under different loading ratesand sliding speeds through nano-scratch tests. The results show that the adhesive strength decreases with the inerease of oxygen flow rate under the same substrate temperature, but the adhesive strength increases with the increase of substrate temperature under the same oxygen flow rate. Besides, the maximum surface roughness(or called surface warpage) increases with the increase of oxygen flow rate.
Стилі APA, Harvard, Vancouver, ISO та ін.
47

Chen, Ying-Chao, and 陳瀅照. "Properties of Indium Tin Oxide Thin Films Deposited on Flexible Plastic Substrate at Low Temperatures." Thesis, 2005. http://ndltd.ncl.edu.tw/handle/32570089722966222597.

Повний текст джерела
Анотація:
碩士
大葉大學
電機工程學系碩士班
93
Transparent and conductive indium tin oxide (ITO) thin films were deposited on glass substrates Corning 1737F and Polyethersulfone (PES) flexible plastic substrates by DC magnetron sputtering. The crystalline substrates and optical-electric characteristics were investigated to achieve the optimum room-temperature growth conditions. The crystalline orientation and the surface morphology were characterized by the X-ray diffraction (XRD) and the atomic force microscopy (AFM), respectively. The ITO/substrates interfaces were observed by the scanning electron microscopy (SEM). In addition, the resistivity, the Hall effect, and the optical transmittance were measured to characterize the photo-electric properties of as grown films. It is found that the ITO films are epitaxially grown with the orientations [222], [400], and [440] perpendicular to the film plane. Moreover, a decreased resistivity of thin film with an increase of X-ray (222) diffraction intensity. The obtained optimum growth conditions for the room-temperature deposition are: DC power = 300 W, deposition pressure = 2 mtorr, and the gas of Ar : O2 = 100 : 1. With the optimum conditions, the resistivity of 6.61X10-4 Ω cm, carrier concentration of 2.31X1020 cm-3, and the transmittance of 88% for films grown on glass substrates are obtained. For the films grown on PES substrates, the lowest resistivity 6.42X10-3 Ω cm with carrier concentration of 1.13X1019 cm-3 and the transmittance of 85% can be achieved. Comparing these results with those reported by other workers, it is concluded that an improved photo-electric properties of ITO films can be obtained by using the DC magnetron sputtering technique at low temperatures.
Стилі APA, Harvard, Vancouver, ISO та ін.
48

Fang, Shu-Cheng, and 方書政. "Nitrogen engineering of ultrathin high-k gate dielectric for HfO2 when deposited on si-substrate." Thesis, 2004. http://ndltd.ncl.edu.tw/handle/63387094311621927030.

Повний текст джерела
Анотація:
碩士
國立雲林科技大學
電子與資訊工程研究所碩士班
92
As complementary metal-oxide-semiconductor (CMOS) device scaling reaches the 0.1 μm era, high k gate dielectrics are attracting interest as replacement for conventional SiO2 gate dielectrics. Among many candidate dielectric material, hafnium oxide (HfO2) has been extensively studied because of its reasonable permittivity (>20). But, the HfO2 is crystallized at 500 ℃annealing. The thermal stability of HfO2 must be improved by using different processing procedure. The initial goals of the work focus on the reliability of the high-k materials of hafnium oxide (HfO2) and hafnium oxynitride (HfOXNY) as well as the mechanisms of trapping transient effects. The new modeling is attempted and developed to explore the traditional viewpoint based on the measurements of I-V and C-V data. In this thesis, we will emphasize on the fabrication of HfO2 and HfOXNY films. HfO2 and HfOXNY films were deposited on Si wafer respectively using R.F. sputtering with the Ar+O2 and N2+O2 modulation techniques, and then we use different annealing temperature treatments in furnace. Finally, we deposited Al films as top and bottom electrodes, to get the MOS capacitor structure. The characteristics and qualities of MOS capacitors under different annealing temperature condition were analyzed and compared by using I-V and C-V measurements. Based on the experimental results, we found that both the HfO2 and HfOXNY capacitors structures on Si wafer at 450 ℃ annealing temperature, the characteristics of equivalent oxide thickness (EOT), dielectric constant, hysteresis and leakage current were better than other annealing temperature’s. Consequently, the electrical properties and thermal stability of HfOXNY capacitor are better than these of HfO2 capacitor. For constant current stress condition, the trapping behavior in the dielectric has been proved to be the hole trapping. The future work will still make an effort on the optimum conditions of fabrication by analyzing the above mechanisms, then to fabricate the MOSFETs with ultra-thin HfOXNY high-k dielectrics using process of low thermal expense and to demonstrated the different of conduction mechanisms.
Стилі APA, Harvard, Vancouver, ISO та ін.
49

Yang, Shang-Chi, and 楊尚錡. "Surface morphology and microstructure of nanodiamond-incorporated TiAlxOyCz hybrid composite layers deposited on SUS420J2 substrate." Thesis, 2016. http://ndltd.ncl.edu.tw/handle/287j8a.

Повний текст джерела
Анотація:
碩士
國立臺灣海洋大學
機械與機電工程學系
104
In this study, the sol-gel titanium-alumina composite solution with incorporated 30 nm diamond seeds, this diamond seeds solution were investigated by incorporated different kinds of diamond seeds weight percent and investigated the diamond seeds dispersed affect in different solutions and Ti/Al ratios solutions. The main way of coating is dip coating with ultrasonic method, used it to deposit on SUS420J2 stainless steel substrate, then sintered at 650 ℃、750 ℃ and 850 ℃ under an argon gas atmosphere (99.9995% oxygen-containing 9 ppm). The material powders were investigated by Fourier transform infrared spectroscopy、X-ray diffraction spectroscopy and electron probe micro-analyzer, obtain the constitute elements are Ti、Al、C and O, crystalline structure with the TiO2 bond、Al2O3 bond and carbon. For the diamond seeds-incorporated Ti/Al-0.1 solution, different kinds of coating process were used and the depositions were sintered at 650 ℃, investigated by scanning electron microscope, dual beam focused ion beam, and high resolution transmission electron microscope. Observed by the surface morphology, the films were composed of nano and micro-particles. The coating compositions also diffused into the substrate. The diamond peak investigated by Raman scatter spectroscopy know that pretreatment ultrasonic 30 minutes then dip coating with ultrasonic process had a most strongest diamond peak signal. Summarize, when the film compositions diffuse and reaction together with substrate compositions, it can prevent the diamond seeds which are on the upper film. Furthermore, the sintered temperature going up, the diamond peak going weak.
Стилі APA, Harvard, Vancouver, ISO та ін.
50

Chiu, Ching-Yi, and 邱瀞儀. "Study of Zinc Oxide Thin Films Deposited on the Glass Substrate by Atomic Layer Deposition." Thesis, 2008. http://ndltd.ncl.edu.tw/handle/38800068157696820401.

Повний текст джерела
Анотація:
碩士
國立臺灣大學
材料科學與工程學研究所
96
This thesis presents the investigation of the electrical and optical properties of the ZnO films deposited by atomic layer deposition (ALD) on the glass substrates. The thesis is divided into three topics. The first topic is the deposition of ZnO films as transparent conductive oxide (TCO). The Al-doped ZnO film with a low resistivity of 1.45×10-3Ω-cm was obtained and the average optical transmittances of the films is in excess of 74%.The decrease in the resistance of ZnO films by the Al doping is caused by the increase in the mobility (>200cm2/V-s),which may be attributed the the increase in the grain size with( )orientation as indicated in the X-ray diffraction(XRD) pattern. The second topic is the preparation of high-quality ZnO films on the glass substrate using the ALD technique.We used two-step approach to grow ZnO films with high (0002) orientation indicated by the XRD measurement. The PL spectrum of ZnO exhibits a strong, near-band-edge UV emission at 379 nm with negligible defect-related bands. The buffer layer and the deposition temperature are important factors for the the growth of ZnO films with high optical quality. The third topic is the study of the optical properties of the high-quality ZnO thin films grown by ALD on the glass substrate. The exciton emission and their multiple-phonon replicas were observed in the low-temperature PL spectrum. The optically pumped stimulated emission with a low threshold intensity (51kW/cm2) was also observed at room temperature. The low-threshold stimulated emission indicates the ZnO film grown by the ALD technique on the glass substrate has a high optical quality.
Стилі APA, Harvard, Vancouver, ISO та ін.
Ми пропонуємо знижки на всі преміум-плани для авторів, чиї праці увійшли до тематичних добірок літератури. Зв'яжіться з нами, щоб отримати унікальний промокод!

До бібліографії