Добірка наукової літератури з теми "COM CACHE"

Оформте джерело за APA, MLA, Chicago, Harvard та іншими стилями

Оберіть тип джерела:

Ознайомтеся зі списками актуальних статей, книг, дисертацій, тез та інших наукових джерел на тему "COM CACHE".

Біля кожної праці в переліку літератури доступна кнопка «Додати до бібліографії». Скористайтеся нею – і ми автоматично оформимо бібліографічне посилання на обрану працю в потрібному вам стилі цитування: APA, MLA, «Гарвард», «Чикаго», «Ванкувер» тощо.

Також ви можете завантажити повний текст наукової публікації у форматі «.pdf» та прочитати онлайн анотацію до роботи, якщо відповідні параметри наявні в метаданих.

Статті в журналах з теми "COM CACHE"

1

Long, Yanshan, Dan Wu, Yueming Cai, and Junyue Qu. "Joint cache policy and transmit power for cache-enabled D2D networks." IET Communications 11, no. 16 (November 9, 2017): 2498–506. http://dx.doi.org/10.1049/iet-com.2017.0025.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
2

Oh, M., Y. G. Kim, S. Hong, and S. Cha. "ASA: agent-based secure ARP cache management." IET Communications 6, no. 7 (2012): 685. http://dx.doi.org/10.1049/iet-com.2011.0566.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
3

Correia, Artur Jordão Lima, Mario Augusto Pazoti, Francisco Assis da Silva, Leandro Luiz de Almeida, and Danillo Roberto Pereira. "SIMULADOR DE UCP COM SUPORTE À MEMÓRIA CACHE E PIPELINE." Colloquium Exactarum 6, no. 3 (October 30, 2014): 10–25. http://dx.doi.org/10.5747/ce.2014.v06.n3.e085.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
4

Xuan, Duo, Jian Chen, Hang Yan, and Lu Lv. "Cache allocation optimisation of user relationship group based on reinforcement learning." IET Communications 14, no. 22 (December 2020): 4101–7. http://dx.doi.org/10.1049/iet-com.2020.0201.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
5

Liu, Jinbo, and Shaohui Sun. "Energy efficiency analysis of cache-enabled cooperative dense small cell networks." IET Communications 11, no. 4 (March 9, 2017): 477–82. http://dx.doi.org/10.1049/iet-com.2016.0680.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
6

Enamipour, Leila, Zolfa Zeinalpour-Yazdi, and Babak Hossein Khalaj. "Performance analysis of cache-enabled wireless networks considering stochastic geometry approach." IET Communications 13, no. 8 (May 14, 2019): 1043–50. http://dx.doi.org/10.1049/iet-com.2018.5249.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
7

Yeung, K. L., and G. Feng. "Cache partitioning for multiple sessions in local loss recovery of reliable multicast." IEE Proceedings - Communications 152, no. 6 (2005): 866. http://dx.doi.org/10.1049/ip-com:20045186.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
8

Zeng, Jian Hua, Xiao Hua Liu, and Xue Ping Liang. "Balanced Preemptive Selection Course System Using COM+ Cache Service Strategy." Applied Mechanics and Materials 513-517 (February 2014): 1867–70. http://dx.doi.org/10.4028/www.scientific.net/amm.513-517.1867.

Повний текст джерела
Анотація:
The paper introduces the design scheme of the balanced preemptive selection system, and how to develop components implement the business logic, and deployment components for COM+. Developing high efficienctly, safe, easy maintenance of the selection course system With the Web server platform based on IIS.
Стилі APA, Harvard, Vancouver, ISO та ін.
9

Holt, A. "Long-range dependence and self-similarity in World Wide Web proxy cache references." IEE Proceedings - Communications 147, no. 6 (2000): 317. http://dx.doi.org/10.1049/ip-com:20000779.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
10

Li, Yue, Ye Wang, Peng Yuan, Qinyu Zhang, and Zhihua Yang. "Popularity-aware back-tracing partition cooperative cache distribution for space–terrestrial integrated networks." IET Communications 13, no. 17 (October 29, 2019): 2786–96. http://dx.doi.org/10.1049/iet-com.2018.6077.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.

Дисертації з теми "COM CACHE"

1

Oliveira, Wendel Muniz de. "Um modelo para gerenciamento de transações com controle de cache em um repositório chave-valor." reponame:Repositório Institucional da UFPR, 2017. http://hdl.handle.net/1884/53001.

Повний текст джерела
Анотація:
Orientadora : Profª. Drª. Carmem Satie Hara
Dissertação (mestrado) - Universidade Federal do Paraná, Setor de Ciências Exatas, Programa de Pós-Graduação em Informática. Defesa: Curitiba, 14/09/2017
Inclui referências : p. 48-50
Resumo: As estratégias mais comuns para alocação de dados em sistemas distribuídos são as tabelas de dispersão distribuídas (DHT) e os sistemas de diretórios distribuídos. As DHTs garantem escalabilidade, porém não dão às aplicações usuárias controle sobre a localidade dos dados. Por outro lado, os diretórios distribuídos mantêm o mapeamento entre os itens alocados e os servidores que compõem o sistema, o que garante flexibilidade de alocação, mas com escalabilidade limitada. Em um Sistema Gerenciador de Banco de Dados (SGBD), o controle sobre a localidade pode garantir a proximidade dos dados que são frequentemente acessados de forma conjunta nas consultas, com o intuito de reduzir acessos remotos que aumentam o tempo de execução. O ALOCS é um sistema desenvolvido sobre diretórios distribuídos que tem por finalidade ser utilizado como backend de armazenamento de um SGBD. Ele adota o conceito de buckets, compostos por um conjunto de pares chave-valor, como unidade de comunicação de dados entre servidores. Dessa forma, a aplicação usuária pode alocar em um mesmo bucket pares que são frequentemente utilizados em conjunto. Para minimizar ainda mais a quantidade de comunicação, o ALOCS mantém buckets previamente acessados em cache. A utilização de cache pode gerar problemas para a consistência dos dados quando vários servidores mantêm em cache buckets com dados atualizados. O objetivo desta dissertação é desenvolver uma solução para manter a consistência entre os dados atualizados em cache e o sistema de armazenamento distribuído. A solução é baseada no modelo de concorrência multiversão, com transações que garantem o isolamento por snapshot. Ele foi escolhido por sua abordagem otimista e por não bloquear transações somente de leitura. O sistema foi implementado e os experimentos mostram o impacto da alocação de dados sobre o desempenho do sistema, bem como o overhead do protocolo de controle de concorrência sobre o tempo de recuperação e escrita de dados. Os resultados demonstraram a importância do controle sobre a localidade dos dados. O uso do cache foi determinante para reduzir o tempo de execução das consultas. Palavras-chave: controle de concorrência, controle de localidade, cache.
Abstract: The most common strategies for data allocating in distributed systems are Distributed Hash Tables (DHT) and Distributed Directory Systems. DHTs guarantee scalability but do not allow control over data location to user applications. On the other hand, distributed directories store the location of data items, that is, a mapping between the stored data and servers that compose the system. This strategy guarantees flexibility of allocation but limits its scalability. In a Database Management Systems (DBMS), control over data locality can ensure the proximity of data that are frequently accessed together in queries in order to reduce the number of remote accesses that increase their execution time. ALOCS is a system developed on distributed directories to be used as a storage backend for DBMSs. It adopts the concept of buckets, composed by a set of key-value pairs, as the communication unit between servers. In this way, the user application can allocate pairs that are often used together in the same bucket. To further minimize the amount of communication, ALOCS maintains previously accessed buckets in cache. Caching can cause problems for data consistency when multiple servers cache buckets with updated data. The main objective of this dissertation is to develop a solution to maintain the consistency of the updated data in the cache and the storage system. The solution is based on a multiversion concurrency control with snapshot isolation. It has been chosen for its optimistic approach and non-blocking read-only transactions. The system was implemented and our experiments show the impact of data allocation on the system performance as well as the overhead of the concurrency control protocol on the data recovery and writing time. The results show the importance of allocation control on reducing the execution time of queries. Moreover, they show that caching is crucial to reduce the query execution time. Keywords: concurrency control, locality control, cache.
Стилі APA, Harvard, Vancouver, ISO та ін.
2

Berkenbrock, Carla Diacui Medeiros. "Uma estratégia para garantir coerência de cache e percepção em sistemas cooperativos com apoio à mobilidade." Instituto Tecnológico de Aeronáutica, 2009. http://www.bd.bibl.ita.br/tde_busca/arquivo.php?codArquivo=852.

Повний текст джерела
Анотація:
Os avanços da computação móvel em adição às novas formas de conectividade permitem a integração de dispositivos móveis em aplicações cooperativas. Entretanto, a maior parte das aplicações cooperativas síncronas correntes considera ambientes fortemente acoplados, onde a desconexão dos dispositivos móveis em geral não é considerada. Existem estratégias eficientes para manter a coerência dos dados e fornecer um desempenho razoável em aplicações para dispositivos móveis em ambientes fracamente acoplados. No entanto, essas estratégias não consideram as necessidades de processamento e comunicação de sistemas cooperativos síncronos. Neste trabalho é definida a estratégia Cache Coherence Scheme for Mobile Cooperative Work (CCS-MoCW). Essa estratégia é baseada na disseminação de notificações de alteração, mescla características das abordagens stateful e stateless, interações síncronas e assíncronas, bloqueios, e disponibiliza aspectos de percepção. A avaliação da estratégia CCS-MoCW foi conduzida por meio de experimentos controlados. Esses experimentos envolvem o desenvolvimento de um protótipo e elaboração de um estudo de caso. Os resultados dos experimentos mostram que a estratégia apresenta-se como solução para garantir a coerência de cache, o controle de concorrência e permitir a apresentação de aspectos de percepção em um ambiente cooperativo com apoio à mobilidade dos usuários.
Стилі APA, Harvard, Vancouver, ISO та ін.
3

Starke, Renan Augusto. "Uma Abordagem de escalonamento heterogêneo preemptivo e não preemptivo para sistemas de tempo real com garantia em multiprocessadores." Florianópolis, SC, 2012. http://repositorio.ufsc.br/xmlui/handle/123456789/96473.

Повний текст джерела
Анотація:
Dissertação (mestrado) - Universidade Federal de Santa Catarina, Centro Tecnológico. Programa de Pós-Graduação em Engenharia de Automação e Sistemas
Made available in DSpace on 2012-10-26T12:25:42Z (GMT). No. of bitstreams: 1 301047.pdf: 1285723 bytes, checksum: fcb30ba5e90539742c71505b32d65921 (MD5)
Sistemas de tempo real são sistemas onde o correto funcionamento não depende somente da resposta lógica correta, mas também do tempo no qual ela foi dada. Igualmente do ponto de vista lógico, a viabilidade temporal da aplicação deve ser determinada através de técnicas, como por exemplo análise do tempo de reposta. Este tipo de aplicação está cada vez mais presente atualmente e a demanda de processamento é tamanha que necessita-se de processadores com múltiplos núcleos complexos. É perceptível que o desenvolvimento dos multiprocessadores está muito mais avançado em relação às técnicas de análise de tais sistemas e, portanto, é evidente a necessidade de pesquisa com objetivo de promover maior confiabilidade e redução de superdimensionamentos. O objetivo deste trabalho é promover uma solução de escalonamento que considere a escalonabilidade em conjunto com a analisabilidade do código da aplicação. Atualmente, a pesquisa de sistemas de tempo real trata o problema do escalonamento isolado do problema de obtenção do parâmetro do tempo de computação da tarefas (WCET --Worst Case Execution Time). Dependendo da arquitetura do processador, as premissas adotadas no cálculo do WCET são incompatíveis com as premissas de escalonamento, o que gera uma contradição fundamental entre o cálculo do WCET e os algoritmos de escalonamento. A incompatibilidade das premissas pode ser ilustrada pela preempção em arquiteturas com memória cache, onde o cálculo de WCET assume execução contínua da tarefa, o que não é verdade em grande parte dos algoritmos de escalonamento. Este trabalho propõe o uso de uma abordagem heterogênea em multiprocessadores onde parte dos núcleos operam em regime preemptivo e parte em regime não preemptivo para tentar lidar com as diferentes considerações sobre preempção. As análises realizadas mostram que existe vantagem em usar a abordagem heterogênea.
Real-time systems are systems where the correct functioning depends not only on the logically correct response, but also the time when it was given. As the the logic functionality, the application response time could be analyzed to determine the viability of a real-time system. This type of application is increasingly present today and the processing demand is such that complex multi-core processors are needed. It is noticeable that the development of multiprocessor is a long way ahead compared with the techniques of analysis of such systems and is therefore necessary researches to promote more reliability and to reduce over-specified systems. The objective of this work is to promote a solution that considers scheduling in conjunction with the analyzability of the application code. Currently, the real-time research considers the scheduling problem isolated from the WCET (Worst Case Execution Time) problem. Depending on the processor architecture, the values obtained by computing WCET are incompatible with the scheduling model which creates a fundamental contradiction between the assumptions of calculation of WCET and scheduling algorithms. This work proposes the use of a heterogeneous approach where part of the multiprocessor cores operate under preemptive and part on a non-preemptive scheduling. The analysis shows that there are advantages using the heterogeneous approach.
Стилі APA, Harvard, Vancouver, ISO та ін.
4

Caetano, André Francisco Morielo. "Griddler : uma estratégia configurável para armazenamento distribuído de objetos peer-to-peer que combina replicação e erasure coding com sistema de cache /." São José do Rio Preto, 2017. http://hdl.handle.net/11449/151383.

Повний текст джерела
Анотація:
Orientador: Carlos Roberto Valêncio
Banca: Geraldo Francisco Donega Zafalon
Banca: Pedro Luiz Pizzigatti Correa
Resumo: Sistemas de gerenciamento de banco de dados, na sua essência, almejam garantir o armazenamento confiável da informação. Também é tarefa de um sistema de gerenciamento de banco de dados oferecer agilidade no acesso às informações. Nesse contexto, é de grande interesse considerar alguns fenômenos recentes: a progressiva geração de conteúdo não-estruturado, como imagens e vídeo, o decorrente aumento do volume de dados em formato digital nas mais diversas mídias e o grande número de requisições por parte de usuários cada vez mais exigentes. Esses fenômenos fazem parte de uma nova realidade, denominada Big Data, que impõe aos projetistas de bancos de dados um aumento nos requisitos de flexibilidade, escalabilidade, resiliência e velocidade dos seus sistemas. Para suportar dados não-estruturados foi preciso se desprender de algumas limitações dos bancos de dados convencionais e definir novas arquiteturas de armazenamento. Essas arquiteturas definem padrões para gerenciamento dos dados, mas um sistema de armazenamento deve ter suas especificidades ajustadas em cada nível de implementação. Em termos de escalabilidade, por exemplo, cabe a escolha entre sistemas com algum tipo de centralização ou totalmente descentralizados. Por outro lado, em termos de resiliência, algumas soluções utilizam um esquema de replicação para preservar a integridade dos dados por meio de cópias, enquanto outras técnicas visam a otimização do volume de dados armazenados. Por fim, ao mesmo tempo que são...
Abstract: Database management systems, in essence, aim to ensure the reliable storage of information. It is also the task of a database management system to provide agility in accessing information. In this context, it is of great interest to consider some recent phenomena: the progressive generation of unstructured content such as images and video, the consequent increase in the volume of data in digital format in the most diverse media and the large number of requests by users increasingly demanding. These phenomena are part of a new reality, named Big Data, that imposes on database designers an increase in the flexibility, scalability, resiliency, and speed requirements of their systems. To support unstructured data, it was necessary to get rid of some limitations of conventional databases and define new storage architectures. These architectures define standards for data management, but a storage system must have its specificities adjusted at each level of implementation. In terms of scalability, for example, it is up to the choice between systems with some type of centralization or totally decentralized. On the other hand, in terms of resiliency, some solutions utilize a replication scheme to preserve the integrity of the data through copies, while other techniques are aimed at optimizing the volume of stored data. Finally, at the same time that new network and disk technologies are being developed, one might think of using caching to optimize access to what is stored. This work explores and analyzes the different levels in the development of distributed storage systems. This work objective is to present an architecture that combines different resilience techniques. The scientific contribution of this work is, in addition to a totally decentralized suggestion of data allocation, the use of an access cache structure with adaptive algorithms in this environment
Mestre
Стилі APA, Harvard, Vancouver, ISO та ін.
5

Caetano, André Francisco Morielo [UNESP]. "Griddler: uma estratégia configurável para armazenamento distribuído de objetos peer-to-peer que combina replicação e erasure coding com sistema de cache." Universidade Estadual Paulista (UNESP), 2017. http://hdl.handle.net/11449/151383.

Повний текст джерела
Анотація:
Submitted by André Francisco Morielo Caetano null (andremorielo@hotmail.com) on 2017-08-18T20:54:09Z No. of bitstreams: 1 Dissertacao_Andre_Morielo-Principal.pdf: 2084639 bytes, checksum: d77158373f8168fc0224d407bb07aa99 (MD5)
Approved for entry into archive by Luiz Galeffi (luizgaleffi@gmail.com) on 2017-08-23T19:42:08Z (GMT) No. of bitstreams: 1 caetano_afm_me_sjrp.pdf: 2084639 bytes, checksum: d77158373f8168fc0224d407bb07aa99 (MD5)
Made available in DSpace on 2017-08-23T19:42:08Z (GMT). No. of bitstreams: 1 caetano_afm_me_sjrp.pdf: 2084639 bytes, checksum: d77158373f8168fc0224d407bb07aa99 (MD5) Previous issue date: 2017-08-10
Coordenação de Aperfeiçoamento de Pessoal de Nível Superior (CAPES)
Sistemas de gerenciamento de banco de dados, na sua essência, almejam garantir o armazenamento confiável da informação. Também é tarefa de um sistema de gerenciamento de banco de dados oferecer agilidade no acesso às informações. Nesse contexto, é de grande interesse considerar alguns fenômenos recentes: a progressiva geração de conteúdo não-estruturado, como imagens e vídeo, o decorrente aumento do volume de dados em formato digital nas mais diversas mídias e o grande número de requisições por parte de usuários cada vez mais exigentes. Esses fenômenos fazem parte de uma nova realidade, denominada Big Data, que impõe aos projetistas de bancos de dados um aumento nos requisitos de flexibilidade, escalabilidade, resiliência e velocidade dos seus sistemas. Para suportar dados não-estruturados foi preciso se desprender de algumas limitações dos bancos de dados convencionais e definir novas arquiteturas de armazenamento. Essas arquiteturas definem padrões para gerenciamento dos dados, mas um sistema de armazenamento deve ter suas especificidades ajustadas em cada nível de implementação. Em termos de escalabilidade, por exemplo, cabe a escolha entre sistemas com algum tipo de centralização ou totalmente descentralizados. Por outro lado, em termos de resiliência, algumas soluções utilizam um esquema de replicação para preservar a integridade dos dados por meio de cópias, enquanto outras técnicas visam a otimização do volume de dados armazenados. Por fim, ao mesmo tempo que são desenvolvidas novas tecnologias de rede e disco, pode-se pensar na utilização de caching para otimizar o acesso ao que está armazenado. Este trabalho explora e analisa os diferentes níveis no desenvolvimento de sistemas de armazenamento distribuído. O objetivo deste trabalho é apresentar uma arquitetura que combina diferentes técnicas de resiliência. A contribuição científica deste trabalho é, além de uma sugestão totalmente descentralizada de alocação dos dados, o uso de uma estrutura de cache de acesso nesse ambiente, com algoritmos adaptáveis.
Database management systems, in essence, aim to ensure the reliable storage of information. It is also the task of a database management system to provide agility in accessing information. In this context, it is of great interest to consider some recent phenomena: the progressive generation of unstructured content such as images and video, the consequent increase in the volume of data in digital format in the most diverse media and the large number of requests by users increasingly demanding. These phenomena are part of a new reality, named Big Data, that imposes on database designers an increase in the flexibility, scalability, resiliency, and speed requirements of their systems. To support unstructured data, it was necessary to get rid of some limitations of conventional databases and define new storage architectures. These architectures define standards for data management, but a storage system must have its specificities adjusted at each level of implementation. In terms of scalability, for example, it is up to the choice between systems with some type of centralization or totally decentralized. On the other hand, in terms of resiliency, some solutions utilize a replication scheme to preserve the integrity of the data through copies, while other techniques are aimed at optimizing the volume of stored data. Finally, at the same time that new network and disk technologies are being developed, one might think of using caching to optimize access to what is stored. This work explores and analyzes the different levels in the development of distributed storage systems. This work objective is to present an architecture that combines different resilience techniques. The scientific contribution of this work is, in addition to a totally decentralized suggestion of data allocation, the use of an access cache structure with adaptive algorithms in this environment.
Стилі APA, Harvard, Vancouver, ISO та ін.
6

Angeli, João Paulo de. "Implementação de um algoritmo de mecânica dos fluidos computacional projetado para plataformas de processamento paralelo com memória distribuída." Universidade Federal do Espírito Santo, 2005. http://repositorio.ufes.br/handle/10/6485.

Повний текст джерела
Анотація:
Made available in DSpace on 2016-12-23T14:36:45Z (GMT). No. of bitstreams: 1 dissertacao.pdf: 1896132 bytes, checksum: dc313d94261c073031be0aad2e3bffbf (MD5) Previous issue date: 2005-06-30
Discute a implementação do algoritmo numérico para simulação de escoamento de fluidos incompressíveis, baseado no método de diferenças finitas, projetado para plataformas de processamento paralelo com memória distribuída, particularmente para clusters de estações de trabalho. O algoritmo de solução para as equações de Navier-Stokes utiliza um esquema explicito para pressão e um esquema implícito para as velocidades. A implementação paralela é baseada na decomposição do domínio, onde o domínio computacional do problema é decomposto em vários blocos, sendo um ou mais destinados a nós de processamento distintos. Todos os nós então processam em paralelo as tarefas de computação sobre os blocos a eles designados. O processamento paralelo inclui inicialização, cálculo de coeficientes, solução linear nos subdomínios, e comunicação entre os nós. A troca de informação entre os processos referentes a cada subdomínio é realizada utilizando a biblioteca message passing interface (MPI), o que assegura portabilidade entre diferentes plataformas computacionais, abrangendo desde máquinas maciçamente paralelas (MPP) até clusters de estações de trabalho. Para melhorar os níveis de desempenho obtidos pelo algoritmo, foram investigadas técnicas para a redução do volume de comunicação entre processadores e utilização mais eficiente da memória cache dos microprocessadores. Para avaliar o desempenho do algoritmo desenvolvido e analisar as diferentes estratégias de paralelização foram executadas simulações com cluster de 2 a 56 processadores, nas quais foram avaliados o tempo de execução, speedup e eficiência paralela. Os resultados experimentais mostram que as otimizações relacionadas aos fatores de comunicação melhoram o speedup em até 165%, e a técnica de utilização mais eficiente da memória cache pode melhorar o speedup em mais 40% acima da otimização da comunicação.
This work discusses the implementation of a numerical algorithm for simulating incompressible fluid flows, based on the finite difference method, and designed for parallel computing platforms with distributed-memory, particularly for clusters of workstations. The solution algorithm for the Navier-Stokes equations utilizes an explicit scheme for pressure and an implicit scheme for velocities. The parallel implementation is based on domain decomposition, where the original calculation domain is decomposed into several blocks, each of which given to a separate processing node. All nodes then execute computations in parallel, each node on its associated sub-domain. The parallel computations include initialization, coefficient generation, linear solution on the sub-domain, and inter-node communication. The exchange of information across the sub-domains, or processors, is achieved using the message passing interface standard, MPI. The use of MPI ensures portability across different computing platforms ranging from massively parallel machines to clusters of workstations. Three different optimization strategies were evaluated in order to improve the computational performance of the algorithm, which include techniques exploring a reduction in the communication volume between processors and a more efficient utilization of the microprocessor s cache memory. In order to evaluate the performance levels obtained, and to analyze the effectiveness of the optimization strategies adopted, simulations using a 64 nodes cluster were executed. The simulations were performed using 2 to 56 processors, where execution time and speed-up were measured. The results indicate that the optimizations related to communication factors can improve the speed-up obtained up to 165%, while the cache memory optimization technique used can improve the speed-up obtained in further 40%.
Стилі APA, Harvard, Vancouver, ISO та ін.
7

Couto, Andre Luis do. "Caracterização de memorias analogicas implementadas com transistores MOS floating gate." [s.n.], 2005. http://repositorio.unicamp.br/jspui/handle/REPOSIP/260078.

Повний текст джерела
Анотація:
Orientador: Carlos Alberto dos Reis Filho
Dissertação (mestrado) - Universidade Estadual de Campinas, Faculdade de Engenharia Eletrica e de Computação
Made available in DSpace on 2018-08-07T11:14:24Z (GMT). No. of bitstreams: 1 Couto_AndreLuisdo_M.pdf: 2940356 bytes, checksum: 959908541a3bc46b7b7035eb035de186 (MD5) Previous issue date: 2005
Resumo: A integração de memórias e circuitos analógicos em um mesmo die oferece diversas vantagens: redução de espaço nas placas, maior confiabilidade, menor custo. Para tanto, prescindir-se de tecnologia específica à confecção de memórias e utilizar-se somente de tecnologia CMOS convencional é requisito para tal integração. Essa pode ser tanto mais eficiente quanto maior a capacidade de armazenagem de dados, ou seja, maior a densidade de informação. Para isso, memórias analógicas mostram-se bem mais adequadas, posto que em uma só célula (um ou dois transistores) podem ser armazenados dados que precisariam de diversas células de memórias digitais e, portanto, de maior área. Neste trabalho, transistores MOS com porta flutuante mostraram-se viáveis de serem confeccionados e resultados de caracterização como tipos de programação, retenção de dados e endurance foram obtidos. O trabalho apresenta as principais características dos FGMOS (Floating Gate MOS) e presta-se como referência à futuros trabalhos na área
Abstract:Monolithic integration of memories and analog circuits ,in the same die offers interesting advantages like: smaller application boards, higher robustness and mainly lower costs. Today, a profitable integration of these kind of circuit can only be possible using conventional CMOS technology, which allows efficiently extraordinary levels of integration. Thus, the possibility of integrating analog memories looks more suitable since one single cell (usually use one or two transistors) serves for storing the same data stored by few digital memory cells, therefore, they requiring less area. In this work, it was implemented different memory cells together with few devices using floating gate MOS transistors and manufactured by a conventional CMOS technology. Differemt sort of programrning', data retention, and endurance were characterized as well as the main characteristics of the FGMOS (Floating Gate MOS) were obtained. The results of their characterization reveal that is possible to make and' to program fIoating gate MOSFETS analog memories and must serve as starting-point and reference for new academic studies
Mestrado
Eletrônica, Microeletrônica e Optoeletrônica
Mestre em Engenharia Elétrica
Стилі APA, Harvard, Vancouver, ISO та ін.
8

Xiang, Ping. "ANALYZING INSTRUCTTION BASED CACHE REPLACEMENT POLICIES." Master's thesis, University of Central Florida, 2010. http://digital.library.ucf.edu/cdm/ref/collection/ETD/id/2589.

Повний текст джерела
Анотація:
The increasing speed gap between microprocessors and off-chip DRAM makes last-level caches (LLCs) a critical component for computer performance. Multi core processors aggravate the problem since multiple processor cores compete for the LLC. As a result, LLCs typically consume a significant amount of the die area and effective utilization of LLCs is mandatory for both performance and power efficiency. We present a novel replacement policy for last-level caches (LLCs). The fundamental observation is to view LLCs as a shared resource among multiple address streams with each stream being generated by a static memory access instruction. The management of LLCs in both single-core and multi-core processors can then be modeled as a competition among multiple instructions. In our proposed scheme, we prioritize those instructions based on the number of LLC accesses and reuses and only allow cache lines having high instruction priorities to replace those of low priorities. The hardware support for our proposed replacement policy is light-weighted. Our experimental results based on a set of SPEC 2006 benchmarks show that it achieves significant performance improvement upon the least-recently used (LRU) replacement policy for benchmarks with high numbers of LLC misses. To handle LRU-friendly workloads, the set sampling technique is adopted to retain the benefits from the LRU replacement policy.
M.S.
School of Electrical Engineering and Computer Science
Engineering and Computer Science
Computer Engineering MSCpE
Стилі APA, Harvard, Vancouver, ISO та ін.
9

Xu, Jianliang. "Client-side data caching in mobile computing environments /." View Abstract or Full-Text, 2002. http://library.ust.hk/cgi/db/thesis.pl?COMP%202002%20XU.

Повний текст джерела
Анотація:
Thesis (Ph. D.)--Hong Kong University of Science and Technology, 2002.
Includes bibliographical references (leaves 146-158). Also available in electronic version. Access restricted to campus users.
Стилі APA, Harvard, Vancouver, ISO та ін.
10

Xu, Ji. "Data caching in wireless mobile networks /." View abstract or full-text, 2004. http://library.ust.hk/cgi/db/thesis.pl?COMP%202004%20XU.

Повний текст джерела
Анотація:
Thesis (M. Phil.)--Hong Kong University of Science and Technology, 2004.
Includes bibliographical references (leaves 57-60). Also available in electronic version. Access restricted to campus users.
Стилі APA, Harvard, Vancouver, ISO та ін.

Книги з теми "COM CACHE"

1

LANGLOIS, Louis. Vices Caches. com. Independently Published, 2017.

Знайти повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
2

Quinterno, Javier. La cacha, memorias de una época. Editorial de la Universidad Nacional de La Plata (EDULP), 2018. http://dx.doi.org/10.35537/10915/71351.

Повний текст джерела
Анотація:
"La Cacha, memorias de una época" releja las vicisitudes de un grupo pequeño de personas que, desde un pueblo de provincia, decidieron enfrentar a la dictadura mucho antes que ésta asumiera el poder blandiendo como única arma la palabra escrita a través del periódico "El Argentino" de Saladillo. Devenido el golpe de Estado debieron afrontar las consecuencias de persistir en una resistencia basada en fuertes convicciones democráticas, al punto de poner en riesgo sus propias vidas. Nos muestra una parte de la historia argentina dominada por la idea de que el fin justificaba la violencia, desde un punto de vista singular y refleja detalles conmovedores de la vida en cautiverio como nunca antes se había contado. El libro desarrolla en forma paralela el relato que describe la supervivencia por un lado y por otro, el contexto histórico previo y posterior al golpe del 76 así como los avances y retrocesos en la política de derechos humanos. Finalmente las historias se cruzan logrando una conjunción de sentimientos y vivencias con una base de contenidos histórico filosóficos que sintetizan una tragedia que los argentinos no deberíamos olvidar.
Стилі APA, Harvard, Vancouver, ISO та ін.
3

Ripoll, Leonardo, and Andrey Kolling Lehnemann. Violências várias: estudos da brutalidade no cinema. Biblioteca Universitária Publicações, 2022. http://dx.doi.org/10.5007/978-65-89363-03-3.

Повний текст джерела
Анотація:
Sexto volume da Coleção Cadernos de Crítica, Violências várias: estudos da brutalidade no cinema é resultado da curadoria do Projeto Cinema Mundo no segundo semestre de 2019, cujo objetivo foi abordar a violência em diferentes facetas: simbólicas, materiais, emocionais, sexuais, sociais, políticas, ecológicas, culturais, religiosas. Com 14 artigos críticos e 1 prefácio teórico sobre o apelo estético da violência, o conjunto de textos reunidos nesta publicação discorre sobre filmes como Irreversível, Dançando no Escuro, Mãe!, Parasita, Relatos Selvagens, Grave, Tom na Fazenda, Caché e Midsommar.
Стилі APA, Harvard, Vancouver, ISO та ін.
4

Verbakel, Ward. Urban Andes. Edited by Basil Descheemaeker and Viviana d’Auria. Leuven University Press, 2022. http://dx.doi.org/10.11116/9789461664594.

Повний текст джерела
Анотація:
Climate change in the Andes is affecting the relation between urban development and the landscape. Design-led explorations are reframing landscape logics and urbanisation patterns within the Cachi River Basin of Ayacucho, Peru. A co-production of students, researchers and designers, the book suggests alternative futures, crossing scales of landscape systems to new settlement typologies. Urban Andes marks the start of the new series LAP on innovative design research in architecture, urbanism, and landscape. It is the result of a two-year collaboration (2018–2020), initiated by the CCA in cooperation with KU Leuven and various partners, including local organisations and the VLIR-UOS.
Стилі APA, Harvard, Vancouver, ISO та ін.
5

Koshy, Susan, Lisa Marie Cacho, Jodi A. Byrd, and Brian Jordan Jefferson, eds. Colonial Racial Capitalism. Duke University Press, 2022. http://dx.doi.org/10.1215/9781478023371.

Повний текст джерела
Анотація:
The contributors to Colonial Racial Capitalism consider anti-Blackness, human commodification, and slave labor alongside the history of Indigenous dispossession and the uneven development of colonized lands across the globe. They demonstrate the co-constitution and entanglement of slavery and colonialism from the conquest of the New World through industrial capitalism to contemporary financial capitalism. Among other topics, the essays explore the historical suturing of Blackness and Black people to debt, the violence of uranium mining on Indigenous lands in Canada and the Belgian Congo, how municipal property assessment and waste management software encodes and produces racial difference, how Puerto Rican police crackdowns on protestors in 2010 and 2011 drew on decades of policing racially and economically marginalized people, and how historic sites in Los Angeles County narrate the Mexican-American War in ways that occlude the war’s imperialist groundings. The volume’s analytic of colonial racial capitalism opens new frameworks for understanding the persistence of violence, precarity, and inequality in modern society. Contributors. Joanne Barker, Jodi A. Byrd, Lisa Marie Cacho, Michael Dawson, Iyko Day, Ruth Wilson Gilmore, Alyosha Goldstein, Cheryl I. Harris, Kimberly Kay Hoang, Brian Jordan Jefferson, Susan Koshy, Marisol LeBrón, Jodi Melamed, Laura Pulido
Стилі APA, Harvard, Vancouver, ISO та ін.
6

Yamin, Rebecca, and Donna J. Seifert. The Archaeology of Prostitution and Clandestine Pursuits. University Press of Florida, 2019. http://dx.doi.org/10.5744/florida/9780813056456.001.0001.

Повний текст джерела
Анотація:
The archaeological study of prostitution in nineteenth-century American contexts grew out of the discovery of brothels in the 1990s during large urban projects done in compliance with the National Historic Preservation Act. This book provides an overview of many of those projects as well as detailed discussions of a brothel found at Five Points in New York City and several parlor houses found in Washington, D.C. The large artifact assemblages recovered in combination with detailed primary and secondary historical research have produced a complex picture of commercial sex, which the book discusses in both nineteenth-century and twenty-first century perspectives. Agency theory is used to link the practice of prostitution with other forms of clandestine behavior that have come to light through archaeology. Issues of gender, class, and race run through the archaeological study of clandestine behavior, which includes acts of resistance in public—from drinking on the job to piracy—and acts in private—from hiding caches of artifacts in vulnerable places to scratching inscrutable designs on ceramic pots. The book ends with questions that touch on the age-old conundrum of passing judgment. Should prostitution be decriminalized? Should the efficacy of spiritual practices be questioned? The value of anomalous artifacts and their interpretation is stressed as crucial to recognizing brothels and evidence of clandestine pursuits.
Стилі APA, Harvard, Vancouver, ISO та ін.
7

Swann, Julian. Exile, Imprisonment, or Death. Oxford University Press, 2017. http://dx.doi.org/10.1093/acprof:oso/9780198788690.001.0001.

Повний текст джерела
Анотація:
Between the assassination of Henri IV in 1610 and the French Revolution of 1789, thousands of French nobles, including members of the royal family, courtiers, bishops, generals, and judges suffered internal exile, imprisonment, or even death for having displeased their sovereign. For most that punishment was independent of the legal system and was the result of a simple royal command or a written order, known as a lettre de cachet. Yet rather than protest, the victims were willing to obey, spending months, even years in disgrace without any knowledge of when, or even if, their ordeal would end. Their punishment was for many a terrible personal blow, striking at the heart of their own identity and relationship to the king, and it threatened the future of their families, friends, and political allies. This book is the first in-depth study of political disgrace, which was intrinsic to the exercise of royal power, drawing on the mystique of monarchy and the ideologies of divine right, patriarchy, and justice that underpinned royal authority. It explores the rise and consolidation of a new model of disgrace amongst the nobility for which obedience to the king gradually replaced the rebellious attitudes fostered during the years of religious and civil strife. Yet for all the power of royal disgrace, it was always open to challenge and in the course of the eighteenth century it would come under a sustained attack that tells us much about the political and cultural origins of the French Revolution.
Стилі APA, Harvard, Vancouver, ISO та ін.

Частини книг з теми "COM CACHE"

1

Lee, Hyuk-Jun, Seung-Cheol Kim, and Eui-Young Chung. "Fast Correction of Multiple Soft Errors in Highly Associative Cache with CAM-Based Tag." In Communications in Computer and Information Science, 424–31. Berlin, Heidelberg: Springer Berlin Heidelberg, 2012. http://dx.doi.org/10.1007/978-3-642-35264-5_57.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
2

Yamada, Akira, Hiromasa Nakagawa, Masayuki Hata, Mitsugu Satoh, Koichi Nishida, and Toshiyuki Hiraki. "The Design Method of High Speed Cache Controller/Memory (CCM) for the GMICRO Family Microprocessors." In TRON Project 1990, 249–60. Tokyo: Springer Japan, 1990. http://dx.doi.org/10.1007/978-4-431-68129-8_15.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
3

Choi, Joonwon, Adam Chlipala, and Arvind. "Hemiola: A DSL and Verification Tools to Guide Design and Proof of Hierarchical Cache-Coherence Protocols." In Computer Aided Verification, 317–39. Cham: Springer International Publishing, 2022. http://dx.doi.org/10.1007/978-3-031-13188-2_16.

Повний текст джерела
Анотація:
AbstractCache-coherence protocols have been one of the greatest challenges in formal verification of hardware, due to their central complication of executing multiple memory-access transactions concurrently within a distributed message-passing system. In this paper, we introduce Hemiola, a framework embedded in Coq that guides the user to design protocols that never experience inconsistent interleavings while handling transactions concurrently. The framework provides a DSL, where any protocol designed in the DSL always satisfies the serializability property, allowing a user to verify the protocol assuming that transactions are executed one-at-a-time. Hemiola also provides a novel invariant proof method, for protocols designed in Hemiola, that only requires considering execution histories without interleaved memory accesses. We used Hemiola to design and prove hierarchical MSI and MESI protocols as case studies. We also demonstrated that the case-study protocols are hardware-synthesizable, by using a compilation/synthesis toolchain targeting FPGAs.
Стилі APA, Harvard, Vancouver, ISO та ін.
4

"A Cache of Letters." In When the River Ice Flows, I Will Come Home, 9–15. Academic Studies Press, 2020. http://dx.doi.org/10.2307/j.ctv1z3hkts.4.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
5

MAGALHÃES, M. M. "Efeito de diferentes lâminas de irrigação, densidades de plantio e poda do cacho para cultura da banana (Musa spp. L)." In Produção Animal e Vegetal: Inovações e Atualidades. Agron Food Academy, 2021. http://dx.doi.org/10.53934/9786599539633-6.

Повний текст джерела
Анотація:
A irrigação pode ser dita como uma prática imprescindível principalmente nas regiões as quais as precipitações são abaixo da evapotranspiração, onde deve ser realizada tanto de forma a atender as necessidades da cultura como também a aplicação de lâminas precisas evitando o desperdício. Dessa forma, esse trabalho tem o objetivo de fazer uma revisão de literatura sob o Efeito de diferentes lâminas de irrigação associadas com densidades de plantio e poda do cacho na cultura da banana. Por meio desse trabalho, pode-se concluir que as práticas de manejo descritas quanto associadas com a retirada de duas mãos podem causar o aumento peso total da mão; crescimento do diâmetro do fruto; melhora das características vegetativas como por exemplo o número de folhas, índice de área foliar área foliar e peso do fruto.
Стилі APA, Harvard, Vancouver, ISO та ін.
6

"1. A Cache of Letters." In When the River Ice Flows, I Will Come Home, 9–15. Academic Studies Press, 2020. http://dx.doi.org/10.1515/9781644692813-003.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
7

STEVEN LI, YAU-TSUN, SHARAD MALIK, and ANDREW WOLFE. "Performance Estimation of Embedded Software with Instruction Cache Modeling." In Readings in Hardware/Software Co-Design, 167–78. Elsevier, 2002. http://dx.doi.org/10.1016/b978-155860702-6/50015-6.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
8

Aguilera-Prado, Marco. "Bioeconomía, una alternativa de investigación en administración y afines." In Temas y métodos de investigación en negocios, administración, mercadeo y contaduría, 193–218. Editorial Uniagustiniana, 2020. http://dx.doi.org/10.28970/9789585498426.06.

Повний текст джерела
Анотація:
El capítulo describe y analiza el estado de la producción científica sobre bioeconomics, mediante un análisis bibliométrico de los artículos publicados durante el periodo 1976-2017, en la base de datos Scopus. Se recolectó información de 407 documentos, de los cuales 335 son artículos, siendo el Journal of Bioeconomics, con 46 artículos y 494 citas, la revista preferida de los autores para enviar los resultados de sus investigaciones. Casi el total de los artículos se encuentran firmados por uno o dos autores; los autores más productivos son Horan Richard D., con 16 documentos; Landa Janet T., con 13; Smith Martin D., con 12; Fenichel Eli P., con 11; Sanchirico, James N., y Cacho Oscar con 10 cada uno. Los contenidos más comunes en los recientes 20 años versan sobre gestión, enfermedades, pesquería y modelación matemática.
Стилі APA, Harvard, Vancouver, ISO та ін.
9

Lash, Dominic. "Distinguishing the Indistinguishable: Figures of Imperceptibility and Impossibility in Lost Highway and Caché." In The Cinema of Disorientation, 105–16. Edinburgh University Press, 2020. http://dx.doi.org/10.3366/edinburgh/9781474462778.003.0008.

Повний текст джерела
Анотація:
This chapter develops the account of figuration constructed in the previous chapter by means of an exploration of a particular figurative operation which it dubs "figurality by indiscernibility". Jacques Tourneur's Night of the Demon (1957), Michael Haneke's Caché (2005), and David Lynch's Lost Highway (1997) all contain images that are visually indistinguishable but which we come to understand to be in some sense distinct. The work of the art historian James Elkins on different kinds of "impossible" image is drawn upon in order to demonstrate the richly disorientating potential of this particular mode of figuration.
Стилі APA, Harvard, Vancouver, ISO та ін.
10

Bognolo, Anna. "El Proyecto Mambrino: para una Base de Datos de motivos caballerescos." In La actualidad de los estudios de Siglo de Oro. Edition Reichenberger, 2023. http://dx.doi.org/10.59010/9783967280494_009.

Повний текст джерела
Анотація:
Los libros de caballerías del Renacimiento incluyen un fuerte componente recursivo inspirado en la imitación de la tradición anterior. En los estudios sobre ficción y en particular sobre la novela de caballerías, ahora se puede hacer referencia a varios índices de motivos cuya pertinencia es ampliamente compartida (Aarne-Thompson, Bordman, Guerreau-Jalabert, Rotunda) y a investigaciones específicas y propuestas innovadoras (J. M. Cacho Blecua, A. González, A. Bueno Serrano, K. X. Luna Mariscal); sin embargo, no es fácil diseñar correctamente una Base de Datos de Motivos caballerescos que combine los requisitos del rigor científico con los de claridad, accesibilidad y posibilidad de compartir datos con otros proyectos de investigación. La comunicación pretende proponer cuestiones metodológicas y reflexionar sobre posibles pautas.
Стилі APA, Harvard, Vancouver, ISO та ін.

Тези доповідей конференцій з теми "COM CACHE"

1

Carvalho, Milene B., and Carlos A. P. S. Martins. "Arquitetura de Cache com Associatividade Reconfigurável." In Workshop em Sistemas Computacionais de Alto Desempenho. Sociedade Brasileira de Computação, 2004. http://dx.doi.org/10.5753/wscad.2004.19000.

Повний текст джерела
Анотація:
Neste artigo apresentamos uma arquitetura de cache com associatividade reconfigurável. Nossos objetivos principais são: propor e analisar uma arquitetura de memória cache com associatividade reconfigurável/variável. Apresentamos a taxa de erro da execução de algumas cargas de trabalho reais representadas por traces obtidos do BYU Trace Distribution Center. Analisamos o desempenho da arquitetura proposta através de comparação das taxas de erro obtidas através da simulação da arquitetura e de caches associativas por conjunto. Além disso, analisamos o espaço necessário para armazenar as tags na cache. Nossa principal contribuição é a proposta de uma arquitetura de memória cache com associatividade reconfigurável/variável capaz de se adaptar às diferentes cargas de trabalho.
Стилі APA, Harvard, Vancouver, ISO та ін.
2

Krause, Arthur, Francis Moreira, Valéria Girelli, and Philippe Olivier Navaux. "Poluição de Cache e Thrashing em Aplicações Paralelas de Alto Desempenho." In XX Simpósio em Sistemas Computacionais de Alto Desempenho. Sociedade Brasileira de Computação, 2019. http://dx.doi.org/10.5753/wscad.2019.8683.

Повний текст джерела
Анотація:
Conforme os processadores evoluem, o desempenho dos sistemas computacionais se torna cada vez mais limitado pelo tempo de acesso à memória. Caches são empregadas a fim de contornar este problema, mas é necessária uma gerência inteligente dos dados que são armazenados nelas para impedir que problemas como poluição e thrashing degradem seu desempenho. Neste trabalho é apresentada uma análise da poluição de cache e thrashing em aplicações paralelas de alto desempenho. Os resultados mostram que caches com maior associatividade sofrem mais com estes problemas. Até 28% dos cache misses na L1 poderiam ser evitados com uma política de substituição de cache mais inteligente, chegando a até 62% na cache L2 e 98% na LLC. As processors evolve, the performance of computer systems becomes increasingly limited by the memory access time. Caches are employed in order to get around this problem, but an intelligent management of the data that is stored in them is necessary to prevent problems such as pollution and thrashing from degrading their performance. In this work, an analysis of cache and thrashing pollution in high performance parallel applications is presented. The results show that caches with greater associativity suffer more from these problems. Up to 28% of cache misses in the L1 cache could be avoided with a smarter replacement policy, up to 62% in the L2 cache and 98% in the LLC.
Стилі APA, Harvard, Vancouver, ISO та ін.
3

Souza, Richard R. de, Giancarlo C. Heck, Renato Carmo, and Roberto A. Hexsel. "Avaliação de Desempenho, Área e Energia de Caches com Controle de Poluição." In Simpósio em Sistemas Computacionais de Alto Desempenho. Sociedade Brasileira de Computação, 2009. http://dx.doi.org/10.5753/wscad.2009.17399.

Повний текст джерела
Анотація:
Este artigo compara o desempenho, a área e o dispêndio de energia de quatro projetos do primeiro nível da hierarquia de memória para sistemas embarcados. Os quatro projetos são: (i) cache primária com mapeamento direto (MD); (ii) cache primária com associatividade binária; (iii) cache primária com MD associada a uma cache com controle de poluição; e (iv) cache primária com MD associada a uma cache de vítimas e controle de poluição. Os projetos foram simulados com os programas da suíte CommBench, e para estes programas, caches com 4-8 Kbytes e os projetos (iii) e (iv) tem bom desempenho; os sistemas com o projeto (iv) tem a melhor relação desempenho/área e energia.
Стилі APA, Harvard, Vancouver, ISO та ін.
4

Kerr Jr., Roberto B., and Edson T. Midorikawa. "Um Algoritmo de Reconfiguração da Associatividade em Memórias Cache." In Workshop em Sistemas Computacionais de Alto Desempenho. Sociedade Brasileira de Computação, 2007. http://dx.doi.org/10.5753/wscad.2007.18761.

Повний текст джерела
Анотація:
A aplicação de dispositivos reconfiguráveis em sistemas de computação de alto desempenho tem se difundido recentemente. Dentre as áreas de pesquisa com maior possibilidade de ganho de desempenho se destacam os projetos com caches reconfiguráveis. Trabalhos anteriores já mostraram a possibilidade de implementar reconfiguração em diversos campos da memória cache, como por exemplo, o tamanho da linha, a associatividade ou o algoritmo de substituição. Neste artigo analisamos uma proposta de um algoritmo de reconfiguração da associatividade. A análise foi conduzida com a utilização de traces do Spec2000 obtidos do BYU Trace Distribution Center. Resultados experimentais mostraram ganhos de desempenho em relação aos caches tradicionais e em relação a um algoritmo reconfigurável proposto na literatura.
Стилі APA, Harvard, Vancouver, ISO та ін.
5

Paixão, Goedson Teixeira, Wagner Meira Jr., and Fernando Caixeta Sanches. "Servidores Cache WWW em Arquiteturas Multiprocessadas." In International Symposium on Computer Architecture and High Performance Computing. Sociedade Brasileira de Computação, 1999. http://dx.doi.org/10.5753/sbac-pad.1999.19807.

Повний текст джерела
Анотація:
O grande crescimento em popularidade da World Wide Web tem motivado várias pesquisas com o objetivo de reduzir a latência observada pelos usuários. Os servidores cache têm se mostrado uma ferramenta muito importante na busca desse objetivo. Embora a utilização de servidores cache tenha contribuído para diminuir o tráfego na Internet, as estratégias de cooperação utilizadas na composição de grupos (clusters) de caches normalmente trazem uma degradação de desempenho aos servidores não sendo, por isso, escaláveis o suficiente para acompanhar o crescimento atual da WWW. Neste trabalho, propomos uma nova forma de cooperação entre servidores cache que não cause um impacto tão grande no seu desempenho, permitindo, assim, a criação de grupos de servidores cache que sejam capazes de crescer junto com a demanda dos seus usuários.
Стилі APA, Harvard, Vancouver, ISO та ін.
6

Mendes, Paulo Renato C., Lenise M. V. Rodrigues, João L. da S. Guio Soares, Arthur Serra, Yago Coelho, Nedimar Turatti, Antonio A. de A. Rocha, and Daniel Sadoc Menasché. "Aumentando a Eficiência do Cache Proativo com Algoritmos de Mochilas para PoPs e Hashes para Servidores." In Simpósio em Sistemas Computacionais de Alto Desempenho. Sociedade Brasileira de Computação, 2022. http://dx.doi.org/10.5753/wscad.2022.226307.

Повний текст джерела
Анотація:
Caches são elementos fundamentais para reduzir a carga nos servidores e o atraso dos usuários nas redes de entrega de conteúdo (CDNs). Este trabalho apresenta e avalia estratégias de cache proativo para aumentar a eficiência do cache em uma CDN distribuída geograficamente, com dois algoritmos para selecionar mídias a serem armazenadas em cache. O primeiro se aproveita do clássico problema das mochilas (knapsack), e acopla todos os servidores de cada PoP ao determinar como preencher cada mochila. O segundo preestabelece os servidores candidatos a servir cada mídia, por meio do uso de hashes e, então, aplica o problema da mochila em cada servidor alvo. As duas soluções foram testadas na infraestrutura da Globo, na qual foi possível aumentar o número de visualizações dos vídeos servidos em cache em 80,1%.
Стилі APA, Harvard, Vancouver, ISO та ін.
7

Köhler, Ricardo, and Marco Alves. "Acelerando requisições de prováveis cache misses com requisições em paralelo cache/DRAM." In IX Simpósio Brasileiro de Engenharia de Sistemas Computacionais. Sociedade Brasileira de Computação - SBC, 2019. http://dx.doi.org/10.5753/sbesc_estendido.2019.8643.

Повний текст джерела
Анотація:
O uso de hierarquias de memória cache multi-níveis apresentam resultados interessantes quanto a exploração da localidade temporal e espacial no decorrer da execução de um programa. Ao manterem o conjunto de dados mais frequentemente acessados ou os prováveis dados a serem acessados próximos ao processador, as memórias cache proveem um acesso mais rápido ao dados, quando comparado ao acesso a memória principal. Entretanto, para programas com baixa localidade espacial e temporal, a hierarquia de memórias cache pode apresentar-se como uma barreira para a busca de dados na memória principal. Ou seja, para programas que não tiram proveito das memórias cache, essas acabam por adicionar um overhead no acesso aos dados, pois a busca de dados é feita inicialmente na hierarquia de cache antes de ser encaminhada para a memória principal. Por outro lado, os fabricantes de processadores evitam o envio paralelo de requisições de dados para a memória cache e a memória principal, a fim de evitar inundação de falsas requisições no controlador de memória. Com essa perspectiva, nesse artigo nós apresentamos o uso de um preditor simplificado de faltas de dados no LLC que, ao prever se uma requisição acabará por ser um LLC miss, induz o processador a efetuar uma requisição diretamente a memória principal, em paralelo a tradicional busca de dados percorrendo toda a hierarquia de cache. Em nossas simulações, o encaminhamento de requisições diretamente a memória principal, com base em nosso mecanismo apresentou um ganho de desempenho de até 40% quando single-core e até 14% quando multi-core.
Стилі APA, Harvard, Vancouver, ISO та ін.
8

Engel, Fábio, and Elias Procópio Duarte Jr. "Preenchimento de Cache de Video na Borda da Rede Baseado em Coloração de Grafos." In XVIII Workshop em Clouds e Aplicações. Sociedade Brasileira de Computação, 2020. http://dx.doi.org/10.5753/wcga.2020.12445.

Повний текст джерела
Анотація:
Serviços de streaming de vídeo são frequentemente baseados em nuvens computacionais. Tendo em vista o aumento dos dispositivos móveis acessando este tipo de conteúdo, para garantir a qualidade de serviço requerida, é importante fazer uso de caches na borda da rede. Na medida em que as caches aproximam o conteúdo do usuário final, provocam uma redução do tráfego na nuvem e uma melhoria da experiência do usuário final. Este trabalho aborda um dos aspectos inerentes à utilização de caches, o preenchimento de conteúdo tendo em vista usuários finais em dispositivos móveis. Cada estação base tem uma cache associada. Uma estratégia de preenchimento de cache baseada em coloração de grafos é apresentada, na qual o conteúdo das caches é modificado sempre que há uma solicitação não atendida. A proposta foi avaliada através de simulação e comparada com alternativas e sempre apresentou a melhor taxa de acertos. Concluímos que o número e a distribuição de caches e dos usuários finais tem impacto na eficiência das diferentes estratégias.
Стилі APA, Harvard, Vancouver, ISO та ін.
9

Souza, Guilherme B., Roberto G. Pacheco, and Rodrigo S. Couto. "Um Cache de Imagens Urbanas Auxiliado por Redes Adversárias Generativas." In Workshop de Computação Urbana. Sociedade Brasileira de Computação - SBC, 2021. http://dx.doi.org/10.5753/courb.2021.17116.

Повний текст джерела
Анотація:
Diversas aplicações em cidades inteligentes utilizam a nuvem para classificar imagens capturadas. Contudo, o atraso de rede da Internet pode ser proibitivo para tais aplicações. Para evitar a nuvem, é possível instalar um cache de imagens na borda da Internet, reduzindo o atraso. Esses caches são sensíveis às diferentes condições de iluminação das imagens. Isso reduz sua taxa de acerto em cenários urbanos, nos quais a iluminação se altera ao longo do dia. Este trabalho propõe utilizar uma rede adversária generativa para alterar a iluminação das imagens. Isso permite que o cache possua uma mesma imagem com diferentes iluminações. Os resultados mostram que a proposta atinge uma alta taxa de acerto em comparação ao cache comum, reduzindo o atraso da classificação.
Стилі APA, Harvard, Vancouver, ISO та ін.
10

Alves, Carlos Eduardo Rodrigues, and Osvaldo Catsumi Imamura. "Análise Comparativa de Métodos de Coerência de Dados em Memórias Cache." In Simpósio Brasileiro de Arquitetura de Computadores e Processamento de Alto Desempenho. Sociedade Brasileira de Computação, 1993. http://dx.doi.org/10.5753/sbac-pad.1993.23027.

Повний текст джерела
Анотація:
O uso de um sistema de memória compartilhada permite grande iteração entre os processadores de uma máquina MIMD e oferece um paradigma de programação bastante simples. No entanto, a implementação de sistemas de memória compartilhada eficientes apresenta diversos desafios, devido à alta largura de faixa e à baixa latência média requeridos. Uma solução economicamente viável é associar uma cache a cada processador, armazenando cópias dos dados mais usados nestas caches. Diversas técnicas têm sido estudadas e implementadas para que não ocorram problemas de coerência entre cópias de um mesmo dado guardadas em caches distintas. Uma técnica pouco explorada é a utilização de caches write-through com verificação de consistência para todas as escritas geradas pelos processadores. A impopularidade desta técnica se deve à alta largura de faixa requerida para a memória principal. Este trabalho mostra que, em algumas circunstâncias, o uso de caches write-through pode apresentar vantagens sobre o uso de caches copy back com protocolos de posse de blocos, apresentando desempenhos menos dependentes da codificação dos programas.
Стилі APA, Harvard, Vancouver, ISO та ін.
Ми пропонуємо знижки на всі преміум-плани для авторів, чиї праці увійшли до тематичних добірок літератури. Зв'яжіться з нами, щоб отримати унікальний промокод!

До бібліографії