Дисертації з теми "Caractérisations pour la microélectronique"

Щоб переглянути інші типи публікацій з цієї теми, перейдіть за посиланням: Caractérisations pour la microélectronique.

Оформте джерело за APA, MLA, Chicago, Harvard та іншими стилями

Оберіть тип джерела:

Ознайомтеся з топ-50 дисертацій для дослідження на тему "Caractérisations pour la microélectronique".

Біля кожної праці в переліку літератури доступна кнопка «Додати до бібліографії». Скористайтеся нею – і ми автоматично оформимо бібліографічне посилання на обрану працю в потрібному вам стилі цитування: APA, MLA, «Гарвард», «Чикаго», «Ванкувер» тощо.

Також ви можете завантажити повний текст наукової публікації у форматі «.pdf» та прочитати онлайн анотацію до роботи, якщо відповідні параметри наявні в метаданих.

Переглядайте дисертації для різних дисциплін та оформлюйте правильно вашу бібліографію.

1

Kanoun, Mehdi. "Caractérisations électriques des structures MOS à nanocristaux de Ge pour des applications mémoires non volatiles." Lyon, INSA, 2004. http://theses.insa-lyon.fr/publication/2004ISAL0069/these.pdf.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
La réduction de la taille des composants silicium prévue par la loi de Moore, posera des problèmes de limitation physique et technologique pour ces derniers. En effet, la limite pour les transistors MOS se situe vers une longueur de grille de quelques nm (2010-2015). Pour les mémoires non volatile (MNV), le paramètre critique est l’épaisseur de l’oxyde tunnel. La limite pour un isolant SiO2 se situe autour de 7-8 nm (2002-2008). En deçà, le composant perd sa caractéristique en temps de rétention (10 ans). Il faut donc envisager d’autres alternatives pour ces dispositifs. Parmi les pistes explorées, l’électronique à quelques électrons, pourrait être amenée à jouer un rôle important, notamment en ce qui concerne les applications mémoires. En effet en 1995, Tiwari1 et collaborateurs, proposent de changer la grille flottante en polysilicium par des nanocristaux de Si. L’utilisation d’une grille flottante granulaire à base de nanocristaux de Si réduit le problème de la perte de la charge rencontrée dans les mémoires non volatiles conventionnelles et permet de dépasser l’épaisseur critique des oxydes tunnel. Afin d’améliorer encore plus le temps de rétention des mémoires non volatiles, l’utilisation des nanocristaux de Ge semble être plus intéressante que ceux du Si grâce à leur énergie de gap qui est plus petite. Dans ce contexte ce travail de thèse propose une étude électrique des propriétés électroniques des nanocristaux de germanium pour les applications mémoires. Le premier chapitre est consacré à l’étude des propriétés électroniques du Ge, lorsque celui-ci devient de taille nanométrique. En parallèle à cette description, les dispositifs envisagés seront présentés avec les procédés technologiques associés. Le deuxième chapitre, est dédié à la description et l’analyse de quelques méthodes d’isolation des nodules de Ge dans une matrice d’oxyde. Dans le chapitre III, nous étudierons les mécanismes de transport dans les nanostructures de Ge. Finalement, dans le quatrième chapitre, nous présenterons les études des cinétiques de chargement et de déchargement dans les îlots de Ge. Ces études ont permis d’optimiser les paramètres technologiques du dispositif mémoires, elles ont également démontré le potentiel que présente les îlots de Ge pour les applications mémoires de type P-MOS
The scaling down of the silicon devices predicted by the Moor’s law will cause physical and technological limitations. Indeed, the limit of the MOS transistor’s gate length is situated in the range of 8 nm (2010-2015). For the non volatile memories based on SiO2 insulator, the critical parameter is the tunnel oxide thickness which is situate around 7-8 nm (2008). For thinner oxides, the device loss its retention time characteristic (10 years). For these reasons, other ways must be envisaged. The few electron electronics seem to be a good candidate for this task, in particular for the memory applications. Indeed, Tiwari and collaborators had proposed in 1995, to replace poly-silicon floating gate by Si nanocrystals. The utilization of a granular based silicon nanocrystals floating gate allows the reduction of the tunnel oxide thickness. In order to ameliorate the retention time, it is more interesting to integrate Ge nanocrystals rather than the silicon ones thanks to their smaller band gap. In this context, this work proposes an electrical study of the electronic properties of Ge nanocrystals embedded in the SiO2 matrix for non volatile memory application. The first chapter is devoted to the description of the electronic properties change of the Ge nanocrystals due to the reduction of their size. In addition, the envisaged device is presented with its technological process. In the second chapter, different method analyses are reported for Ge isolation in a SiO2 matrix. The third chapter is dedicated to the transport mechanisms in Ge dots. Finally, in the forth chapter we will present the charge and discharge kinetic studies in Ge islands. These studies have permitted the optimization of the technologic parameters for the non volatile memory device realization as well as demonstrate the potential presented by the Ge dots for P type MOS memories
2

Lintanf, Amélie. "Dépôts par ESD et ALD et caractérisations physico-chimiques de couches d'oxydes à l'échelle nanométrique pour la microélectronique." Grenoble INPG, 2008. http://www.theses.fr/2008INPG0088.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Ce travail porte sur l'élaboration et les caractérisations physico-chimiques de couches très minces de Ta2O5 et ZrO2 (<10 nm) pour différentes applications en microélectronique. Les films sont élaborés par atomisation électrostatique (ESD) et/ou dépôt de couches atomiques (ALD). Les paramètres expérimentaux sont optimisés pour l'obtention de films denses et minces (environ 10 nm), homogènes en épaisseur et conformes. En ESD, la solution précurseur choisie contient un acétylacétonate de tantale ou de zirconium (0,00625 M) dissous dans 20 % vol. Ethanol et 80 % vol. Butyl carbitol. Les paramètres tels que température du substrat, distance aiguille-substrat, débit de la solution et temps de dépôt sont fixés à 240°C, 20 mm, 0,49 ml/h et 5 minutes, quelque soit le matériau déposé. En ALD, les précurseurs utilisés pour déposer Ta2O5 sont l'éthoxyde de tantale chauffé à 100°C dans une nacelle interne et l'eau maintenue à 20°C dans un bulleur externe. Les dépôts ALD sont réalisés pendant 200 à 2000 cycles (0,2/2/2/2s) à 209°C et 100 Pa. L' étude structurale originale des films montre une cristallisation vers 800°C pour Ta2O5 et 600°C pour ZrO2. Ta2O5 présente une transformation de phases monoclinique à rhomboédrique réversible en température lors d'un refroidissement lent. ZrO2 cristallise majoritairement dans la symétrie quadratique. Les tests électriques de capacités MOS réalisés avec une sonde à mercure ont permis d'évaluer la constante diélectrique. Enfin, les performances de barrière de chacun des matériaux ont été étudiées et la défaillance a lieu au delà de 600°C.
3

Perat, Olivier. "Méthodologie de caractérisation de paramètres thermomécaniques de matériaux pour la microélectronique." Toulouse, INSA, 2002. http://www.theses.fr/2002ISAT0009.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Les exigences en termes de performance, de fiabilité et de coût requièrent une conception optimisée des circuits électroniques. Nos recherches réalisées au sein d'un laboratoire commun, associant MOTOROLA SPS, la Région Midi-Pyrénées et le LAAS/CNRS concernent les défaillances d'origine thermomécanique des composants. Nos travaux portent sur le développement d'une méthode de caractérisation de deux paramètres thermomécaniques des matériaux en couche mince: le module d'Young et le coefficient de dilatation thermique. Basée sur une modélisation analytique des contraintes thermomécaniques, la méthode repose sur la déflection thermique de micropoutres bilames. Elle permet la détermination en température des deux paramètres avec une résolution très convenable et un mode opératoire unique. Des cantilevers bilames, réalisés en salle blanche, ont permis de valider la méthode et ont fournis d'intéressants résultats concernant l'étude de la fatigue thermique des assemblages
Optimisation of electronic circuits is mandatory to meet performance, reliability and cost requirements. Our investigation, performed in a Motorola, LAAS/CNRS, Région Midi-Pyrénées common laboratory, concerns the thermal fatigue induced failures of components. Our work deals with the development of a characterisation method of two thermomechanical parameters: the Young's modulus and the coefficient of thermal expansion. Starting from an analytical modelling of thermomechanical stresses, the method is based on the thermal deflection of bilayer cantilevers. Using a single experimental set-up, this method allows the determination of the two parameters as a function of temperature, with a fairly good resolution. Bilayer cantilevers, processed in a clean room, have led to the validation of the method and have provided interesting results concerning study of the thermal fatigue behaviour of heterogeneous assemblies
4

Fossati, Caroline. "Optique pour la Microélectronique : du capteur au traitement de l'image." Habilitation à diriger des recherches, Université Paul Cézanne - Aix-Marseille III, 2008. http://tel.archives-ouvertes.fr/tel-00384815.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Il s'agit ici du bilan de plus de 10 ans d'enseignement et de recherche dans le domaine de la micro et de l'opto électronique, et plus particulièrement des dernières années passées au sein de l'Ecole Centrale Marseille et de l'institut Fresnel.
Deux thématiques de recherche sont abordées :
- La caractérisation optique de défauts submicroniques dans les matériaux, qui a constitué, dans la continuité de ma thèse, la première partie de mes activités : caractérisation de précipités dans le Silicium par le développement d'un microscope infrarouge à balayage ; développement d'un microscope photo thermique pour la détection de nano défauts absorbants précurseurs d'endommagement dans les couches minces optique.
- L'optique pour la microélectronique, thématique initiée en 2000 au laboratoire, qui aborde la modélisation optique de capteurs d'images en technologie CMOS en vue d'adapter leur structure aux contraintes de réflexion et diffraction optique liées à la réduction de taille des pixels imposée par le marché.
Sont aussi concernés les masques avancés pour la photolithographie optique, et l'adaptation de techniques de traitement du signal et des images à la modélisation de corrections optiques de proximité (OPC) qu'il faut appliquer sur les masques pour corriger les effets de la diffraction dans le cadre de la diminution de taille des composants.
Des projets de recherche orientés sur l'application des capteurs et du traitement multidimensionnel du signal pour des applications médicales et de sécurité sont aussi présentés.
5

Thomas, Maryline. "Caractérisation et développement d'architectures 3 D pour capacités métal-isolant-métal intégrant des électrodes en cuivre et des diélectriques à permittivité élevée." Grenoble INPG, 2007. http://www.theses.fr/2007INPG0150.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
6

Laloum, David. "Tomographie par rayons X haute résolution : application à l'intégration 3D pour la microélectronique." Thesis, Université Grenoble Alpes (ComUE), 2015. http://www.theses.fr/2015GREAY067/document.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Les travaux de ce doctorat concernent le développement d'une technique de caractérisation non destructive encore peu utilisée dans le domaine de la microélectronique : la tomographie par rayons X dans un microscope électronique à balayage. Cet instrument a été utilisé pour l'analyse haute résolution d'interconnexions métalliques, telles que les piliers de cuivre ainsi que les vias traversants, utilisées dans le cadre de l'intégration 3D pour connecter verticalement plusieurs puces entre elles. Les contributions les plus significatives de ces travaux sont : (1) l'amélioration des capacités d'analyse offertes par l'instrument. De nombreuses études – simulations et expériences – ont été menées afin de déterminer et améliorer les résolutions 2D et 3D de ce système d'imagerie. Il a été montré que la résolution 2D de ce système d'imagerie pouvait atteindre 60 nanomètres. La qualité des images acquises et reconstruites a également été améliorée à travers l'implémentation d'algorithmes de reconstruction itératifs et de nombreuses méthodes d'alignement des radiographies. (2) La réduction du temps d'analyse d'un facteur 3 à travers l'implémentation d'algorithmes de reconstruction contraints tels que la méthode de reconstruction basée sur la minimisation de la variation totale. (3) La mise en place d'algorithmes de correction efficaces pour l'élimination d'artéfacts de reconstruction liés à la polychromaticité du faisceau de rayons X utilisé. (4) La mise en application de l'ensemble de ces algorithmes sur des cas réels, rencontrés par des technologues
In this thesis, an original non-destructive 3D characterization technique has been developed : the X-ray tomography hosted in a scanning electron microscope. This instrument is not widely used in the microelectronics field. This computed tomography (CT) system has been used for the high resolution analysis of metallic interconnections such as copper pillars and through silicon vias (TSVs). These components are widely used in the field of 3D integration to make vertical stacks of interconnected chips.The most significant contributions of this thesis are : (1) the enhancement of the analytical capabilities of the instrument. Many studies – simulations and experiments – have been performed in order to determine and improve the 2D and 3D resolutions of this imaging system. It has been shown that the 2D resolution of this instrument can reach 60 nanometers. The quality of the projections and reconstruction has also been improved through the implementation of iterative reconstruction algorithms and various projections alignment methods. (2) The reduction of the scanning time by a factor 3 through the implementation of constrained reconstruction techniques such as the reconstruction method based on the total variation minimization. (3) The application of effective correction algorithms for removing reconstruction artefacts due to the polychromaticity of the X-ray beam. (4) The application of all these reconstruction methods and algorithms on real cases encountered by materials engineers
7

Cherkaoui, Karim. "Caractérisation de matériaux semi-isolants par spectroscopie de transitoire de courant photoinduit : matériaux InP dopés Fe pour la micro-optoélectronique et CdZnTe pour la détection nucléaire." Lyon, INSA, 1998. http://www.theses.fr/1998ISAL0104.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
La nécessité de disposer de matériaux semi-isolants de bonne qualité concerne des domaines d'application très variés. Par exemple, les substrats InP et Cd. ZnTe de haute résistivité sont très adaptés à la réalisation de circuits micro-optoélectroniques et de détecteurs nucléaires respectivement. Nous avons caractérisé ces deux matériaux par la spectroscopie thermique de transitoire de courant photo-induit. La première partie de cette étude a pour but d'analyser les défauts dans les substrats InP ayant subi un recuit thermique afin de comprendre le processus de compensation dans ce matériau. Nous avons détecté deux niveaux d'énergie d'activation autour de 0. 2 eV et 0. 4 eV induits par le traitement thermique. Nous avons remarqué l'omniprésence du Fer dans les substrats même non intentionnellement dopés. Il faut donc prendre en compte la participation du Fer pour comprendre le processus de compensation dans ces substrats InP recuits. Dans la deuxième partie, nous avons étudié le matériau CdZnTe élaboré par la technique Bridgman sous haute pression afin de souligner les défauts qui peuvent affecter les performances du détecteur. La présence de trois niveaux profonds proches du milieu de la bande interdite a une influence sur les performances des détecteurs. La qualité des cristaux s'améliore ceci se traduit par la présence d'un seul niveau profond. Ce dernier est probablement responsable du caractère semi-isolant de ces matériaux. Nous avons pu corréler les performances de détection d'une série d'échantillons avec la présence de deux pièges à électrons apparaissant à basse température
Semi-insulating materials show an increasing interest in many application fields. For instance high resistivity InP and CdZnTe substrates are very promising for micro-optoelectronic circuits and nuclear detectors respectively. We have characterized both materials by means of Photo-Induced Current Transient Spectroscopy. The aim of the first part of this study is to analyze the defects in annealed InP substrates to understand the compensation phenomena in this material. We have detected two levels at 0. 2 eV and 0. 4 eV induced by the thermal treatment. We have noticed the presence of iron in all samples even in non intentionally doped ones. Therefore, one must take into account the Iron contribution to fully understand the compensation mechanism in the annealed InP substrates. In the second part, we have studied the CdZnTe material grown by the High Pressure Bridgman method to point out the defects which may affect the detector performance. Three levels detected near the midgap seem to affect the performance of the first studied detectors. We have characterized a series of detectors in which we have only detected one midgap level. We explain this by an improved material quality. This level is probably responsible of the semi-insulating character of this material. Finally, we correlate the detection performance of a series of samples with the presence of two electron traps at low temperature
8

Baudry, Ingwild. "Caractérisation des process de fabrication microélectroniques pour l'éco-conception des futures technologies." Phd thesis, Université de Grenoble, 2013. http://tel.archives-ouvertes.fr/tel-00957329.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
L'industrie microélectronique est engagée depuis longtemps dans des mesures visant à réduire ses impacts sur l'environnement, et ce sur toutes les phases du cycle de vie de ses produits. Sur les sites de fabrication, la suite logique à la mise en place de système de traitement des pollutions est l'anticipation de ces dernières. L'éco-conception des technologies microélectroniques, c'est-à-dire l'intégration de paramètres environnementaux dans leur processus de développement, permet de répondre à cet objectif. Notre travail de recherche a pour but de caractériser environnementalement les procédés de fabrication microélectronique afin de proposer des outils et méthodes pour leurs concepteurs. Nous avons donc modélisé une technologie microélectronique, et associé des impacts environnementaux aux flux entrants et sortants. Cela nous a permis de proposer des indicateurs environnementaux destinés à la R&D et adaptés à un site de développement et de production microélectronique.
9

Gonon, Nathalie. "Procédés thermiques rapides pour la réalisation de diélectriques ultra-minces sur silicium. Caractérisation par spectroellipsométrie." Lyon, INSA, 1993. http://www.theses.fr/1993ISAL0074.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
La réalisation de diélectriques ultra minces inférieurs à 10 nm, est nécessaire dans plusieurs domaines : la microélectronique où la réduction continuelle des dimensions des circuits intégrés MOS implique l'utilisation de diélectriques de plus en plus minces et dans celui des hétéro structures capacitives pour capteur biologiques. L'oxydation thermique du silicium est le procédé le plus performant pour la réalisation des dispositifs pré-cités. Récemment, une technique de croissance à très hautes températures et à temps d'oxydation très courts s'est développée avec l'arrivée des Procédés Thermiques Rapides. Des couches diélectriques ultra-minces sur silicium ont été réalisées par oxydation thermique rapide sous O2 et sous N2O à pression proche de la pression atmosphérique. La caractérisation de ces couches a été faite par spectroellipsométrie. Celle-ci nous a permis de mettre en évidence un régime de croissance initial rapide avec toutefois en ralentissement de cette croissance pour les oxydes faits sous N2O, ainsi qu un indice des couches réalisées plus élevé que celui de la silice standard. Des analyses complémentaires (spectroscopie Auger, sonde ionique, mesures électriques) ont confirmé cet indice élevé et ont révélé un problème d'interface. Elles nous ont permis d'émettre des hypothèses quant à l'origine des indices élevés (densification, présence d'une couche interfaciale SiO2 silicium en excès à 1 interface) et la croissance des oxydes RTO (croissance volumique)
Thermal oxidation of silicon is the more important process for integrated circuit fabrication. The trend towards even smaller and faster devices has resulted in a demand for gate oxides of thickness of less the 10 nm. More recently, a growth technique at very high temperatures for oxidation times of a few second has been developed with the advent of Rapid Thermal Processing. Very thin oxides films were achieved by rapid thermal oxidation in pure 02 or N2O stagnant atmosphere. RTO films thickness and refractive index were obtained by spectroscopic ellipsometry spectroellipsometiy investigation of RTO films revealed a growth rate enhancement at the initial stage of oxidation and an oxidation refractive index higher than chose of standard Si02. It can be noted that for N 0 kinetics, the growth rate was lower than for 02 kinetics. Complementary analysis (Auger spectroscopy, electrical properties. . . ) confirm the high value of the refractive index and reveal an interfacial problem. These analysis allow to express hypothesis to explain the high refractive index (densified SiO2 films, presence of an interfacial SiOx films, excess of silicon at the interface) and the growth of the RTO oxide (volumetric growth)
10

Chang, Youjean. "Etude de caractérisation de matériaux diélectriques de grille à forte permittivité pour les technologies CMOS ultimes." Lyon, INSA, 2003. http://theses.insa-lyon.fr/publication/2003ISAL0035/these.pdf.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Le travail présenté dans ce manuscrit concerne l'étude de nouveaux matériaux diélectriques à forte permittivité ("high-k") en vue de leur intégration comme isolant de grille dans les technologies CMOS ultimes. En effet, la miniaturisation agressive des dispositifs microélectroniques se heurte aujourd'hui aux limites du SiO2 et imposera à échéance de 2 ou 3 ans, son remplacement par un isolant à constante diélectrique plus élevée, ce qui constitue une véritable rupture technologique. Parmi les matériaux candidats les plus prometteurs, Al2O3 ("modeste-k"), HfO2 ("high-k") et SrTiO3 ("very high-k"), représentent des solutions potentielles à respectivement court, moyen et long terme. Le principal enjeu de cette intégration est d'atteindre des épaisseurs équivalentes d'oxyde (EOT) inférieures à 1 nm tout en maintenant des courants de fuite acceptables pour les applications envisagées. Les points bloquants se situent dans la comptabilité technologique de ces matériaux, leur stabilité thermodynamique, la maîtrise de la couche interfaciale et sa qualité électrique. Les diélectriques en film mince étudiés dans ce travail ont été élaborés par deux techniques de dépôt de type industriel, les dépôts chimiques en phase vapeur par couche atomique (ALD) pour Al2O3 et HfO2, ou aux organo-métalliques (MOCVD) à injection, pour SrTiO3. Nous mettons en évidence la très bonne stabilité thermique de Al2O3, qui conserve un caractère amorphe pour des températures de recuits supérieures à 800ʿC. Une couche de transition, principalement constituée de SiO2 ou (et) de silicate, est observée à l'interface avec le substrat de Si. Celle-ci se forme pendant le dépôt et les traitements thermiques ultérieurs et dépend fortement de la préparation du substrat avant dépôt. Un comportement similaire est observé pour HfO2. Nous montrons également que les propriétés électriques de ces matériaux (constante diélectrique, EOT, tension de bande plate, charges dans l'oxyde, densité d'états d'interface) évoluent en fonction de l'épaisseur du film diélectrique, de la préparation de surface, ou encore des conditions de recuits. A EOT comparables, nous obtenons des courants de fuite inférieurs à SiO2 pour les trois types de matériaux. L'analyse détaillée des paramètres électriques montrent que les principaux challenges à relever résident dans la maîtrise de la couche interfaciale et dans la réduction des charges et états d'interface qui s'avère être actuellement l'une des principales sources de dégradation de la mobilité
This thesis presented the study of new gate dielectric materials with high permittivity ("high-k") for their integration into gate insulator of ultimate CMOS technology. Indeed, the aggressive miniaturization of the devices micro-electronics comes up today against the limits of SiO2 and will impose in the term of 2 or 3 years, its replacement by an insulator with higher permittivity, which constitutes a true technological rupture. Among the materials the most promising candidates, Al2O3 ("modeste–k"), HfO2 ("high-k") and SrTiO3 ("very high-k") represent potential solutions with respectively short, medium and long term. The principal problem of this integration is to reach equivalent oxide thickness (EOT) lower than 1 nm while maintaining leakage currents acceptable for the applications considered. The blocking points are in the technological compatibility of these materials, their thermodynamic stability, the control of the interfacial layer and its electrical properties. Thin dielectric films studied in this work has been deposited by two industrial techniques, atomic layer chemical vapour deposition (ALD) for Al2O3 and HfO2 or liquid injection metal organic chemical vapour deposition (MOCVD) for SrTiO3. We proved the very good thermal stability of Al2O3 which preserves an amorphous character up to annealing temperature higher to 800°C. A transition layer, mainly made up of SiO2 or (and) silicate, is observed at the interface between Al2O3 and Si. This layer is formed during the deposition and thermal treatments after deposition and it depends strongly on the preparation of the substrate before deposition. A similar behavior is observed for HfO2. We also show that the electrical properties of these materials (dielectric constant, EOT, flat band voltage, charges in oxide, interface density state) change in function of dielectric film thickness, surface preparation, or of annealing conditions. With comparable EOT, we obtain leakage currents lower than SiO2 for the three types of materials. The detailed analysis of the electrical parameters show that the principal challenges lie in the control of the interfacial layer and the reduction of the charges and interface state density which are proved to be currently one of the principal sources of mobility degradation
11

Doyen, Lise. "Caractérisation électrique de l'endommagement par électromigration des interconnexions en cuivre pour les technologies avancées de la microélectronique." Grenoble 1, 2009. http://www.theses.fr/2009GRE10036.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
La dégradation par électromigration des interconnexions en cuivre damascène est une des principales limitations de la fiabilité des circuits intégrés. Des méthodes de caractérisation complémentaires aux tests de durée de vie, habituellement utilisés, sont nécessaires pour approfondir nos connaissances sur ce phénomène de dégradation. Dans cette étude nous proposons de suivre la croissance par électromigration de la cavité en analysant l'évolution de la résistance de l'interconnexion en fonction du temps. Nous avons, dans un premier temps, étudié les effets de la section de ligne et de la température et, dans un second temps, ceux de la densité de courant et de la longueur de ligne. Nous avons ainsi montré que l'analyse de l'évolution de résistance est une méthode pertinente pour étudier la cinétique de dégradation et en extraire les paramètres caractéristiques tels que l'énergie d'activation du phénomène d'électromigration. Nous avons par ailleurs mis en évidence l'influence de la forme et de la taille de la cavité sur le temps à la défaillance, effet d'autant plus important que la ligne est courte
Copper interconnect degradation due to electromigration is one of the major concern of integrated circuit reliability. New characterization techniques are needed in addition to the standard lifetime tests, in order to increase our knowledge on this degradation phenomenon. In this study, the growth of electromigration induced voids is followed by analyzing evolution of interconnect resistance with time. Effects of, first, the line cross-section and the temperature and, second, of the current density and the line length, have been investigated. It has thus been shown that resistance evolution analysis is a pertinent method to study degradation kinetics and extract characteristic parameters such as the activation energy of mechanism. Moreover, we have highlighted the influence of the void size and shape on the failure time, particularly important on short lines
12

Hourani, Wael. "Caractérisation des courants de fuite à l'échelle nanométrique dans les couches ultra-minces d'oxydes pour la microélectronique." Phd thesis, INSA de Lyon, 2011. http://tel.archives-ouvertes.fr/tel-00952841.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
La miniaturisation de la structure de transistor MOS a conduit à l'amincissement de l'oxyde de grille. Ainsi, la dégradation et le claquage sous contrainte électrique est devenu l'un des problèmes de fiabilité les plus importants des couches minces d'oxydes. L'utilisation de techniques de caractérisation permettant de mesurer les courants de fuite avec une résolution spatiale nanométrique a montré que le phénomène de claquage des oxydes est un phénomène très localisé. Le diamètre des "points chauds", des endroits où le courant de fuite est très élevé pour une tension appliquée continue, peut-être de quelques nanomètres uniquement. Ceci illustre pourquoi les méthodes de caractérisation avec une résolution spatiale à l'échelle nanométrique peuvent fournir des informations supplémentaires par rapport à la caractérisation classique macroscopique. Il y a deux instruments, dérivés de la microscopie à force atomique (AFM) qui peuvent être utilisés pour faire ce travail, soit le Tunneling Atomic Force Microscope (TUNA) ou le Conductive Atomic Force Microscope (C-AFM). Le mode TUNA qui est utilisé dans notre travail est capable de mesurer des courants très faibles variant entre 60 fA et 100 pA. Notre travail peut être divisé en deux thèmes principaux: - La caractérisation électrique des couches minces d'oxydes high-k (LaAlO3 et Gd2O3) à l'échelle nanométrique en utilisant le Dimension Veeco 3100 où nous avons montré que la différence de leurs techniques d'élaboration influe largement sur le comportement électrique de ces oxydes. - Les caractérisations électriques et physiques à l'échelle nanométrique des couches minces d'oxydes thermiques SiO2 sous différentes atmosphères, c.à.d. dans l'air et sous vide (≈ 10-6 mbar) en utilisant le microscope Veeco E-Scope. L'influence de l'atmosphère a été bien étudiée, où nous avons montré que les phénomènes de claquage des couches minces d'oxydes peuvent être fortement réduits sous vide surtout en l'absence du ménisque d'eau sur la surface de l'oxyde pendant les expériences. En utilisant les plusieurs modes de l'AFM, il a été démontré que l'existence de bosses anormales (hillocks) sur la surface de l'oxyde après l'application d'une tension électrique est une combinaison de deux phénomènes: la modification morphologique réelle de la surface de l'oxyde et la force électrostatique entre les charges piégées dans le volume de l'oxyde et la pointe de l'AFM. Selon les images du courant obtenues par AFM en mode TUNA, deux phénomènes physiques pour la création de ces hillocks ont été proposés: le premier est l'effet électro-thermique et la seconde est l'oxydation du substrat Si à l'interface Si/oxyde.
13

Nguyen, Théodore. "Caractérisation, modélisation et fiabilité des diélectriques de grille à base de HfO2 pour les futures technologies CMOS." Lyon, INSA, 2009. http://theses.insa-lyon.fr/publication/2009ISAL0067/these.pdf.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
La miniaturisation des transistors CMOS permet d’améliorer les performances, la densité d’intégration et les coûts des composants électroniques. Cependant, cette course à la miniaturisation a atteint ses limites, et l’intégration d’un oxyde de grille à haute permittivité pour remplacer l’oxyde thermique classique est devenue incontournable. L’oxyde d’hafnium a été choisi pour successeur à l’oxyde SiO2. Son introduction vise à limiter les courants de fuite, mais une incertitude demeure du point de vue de la fiabilité car elle est directement liée à la qualité de l’interface oxyde/canal et à la charge injectée et piégée dans l’oxyde de grille. Ce travail de thèse s’inscrit dans ce contexte. Afin de garantir la fiabilité de ces nouveaux dispositifs, la caractérisation et la modélisation des défauts préexistant dans l’empilement de grille et les mécanismes de conduction à travers l’isolant de grille ont été étudiés. Les mécanismes de génération de défauts sous contrainte PBTI ont également été étudiés et discutés. La compréhension des phénomènes physiques pouvant influencer la fiabilité est primordiale pour l’intégration des oxydes high-k
The downscaling of CMOS transistors has yielded better device performances, improved integration densities and driven down the average price of electronic devices. As of today, however, the enduring push toward miniaturization has hit a performance wall, where it becomes necessary to replace the traditional thermal gate oxide with a high-permittivity one. The semiconductor industry has chosen hafnium oxide as the best candidate to replace SiO2. Although hafnium oxide is effective at reducing gate leakage currents, its integration poses new challenges concerning device reliability, which is related to the oxide/channel interface and to the charge injected and trapped in the gate oxide. This work aims to investigate these points. In order to ensure that hafnium oxide-based devices are reliable, this work studies ways to characterize and modeling of defects within the gate stack, as well as the conduction mechanisms through the gate oxide. It also discusses the mechanisms of defects generation by PBTI. The understanding of the physical phenomena that affect device reliability is fundamental for high-k oxide integration
14

Yao, Wei-Zhen. "Analyses thermomécaniques multi-échelles expérimentale et numérique pour des empilements de couches minces en microélectronique." Thesis, Tours, 2018. http://www.theses.fr/2018TOUR4023.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Ce travail a pour objectifs de comprendre et de prédire les gauchissements de plaquettes en silicium durant le procédé de fabrication des composants électroniques de type PTIC. Ces gauchissements sont en partie responsables de plusieurs problèmes de productivité. Cette étude repose sur un couplage entre les calculs analytiques, la modélisation par élément finis et l’expérimentation. La caractérisation mécanique des films minces constituant l’empilement a été effectuée par des techniques spécifiques comme la nanoindentation complétée par des modélisations numériques. Les contraintes intrinsèques dans les films minces ont été déterminées en couplant des mesures de gauchissements des plaquettes et des calculs par éléments finis. Les valeurs du module d’Young et des contraintes intrinsèques obtenues constituent des variables d’entrée pour calculer les gauchissements de la plaquette par des approches analytiques et numériques. La complexité des structures (plaquettes avec des milliers de puces) a nécessité l’utilisation des modèles d’homogénéisation pour estimer numériquement les gauchissements. Les résultats obtenus ont permis de prédire les gauchissements à l’échelle des plaquettes dans le but d’optimiser les conditions de fabrication afin de minimiser les risques d’apparition des problèmes mécaniques
The aim of this work is to understand and predict the warpage of silicon wafers during the fabrication process of PTIC microelectronic components. The warpages are partially responsible for several productivity problems. This study is done by coupling analytical calculation, finite element modeling and experimentation. The mechanical characterization of thin films constituting the multi-layered stack has been carried out by an experimental method nanoindentation with the help of a finite element model. The intrinsic stress in the thin films has been determined by coupling measurements of the wafer warpage and a finite element model. The obtained Young’s modulus and intrinsic stress are used to feed the database for calculating the wafer warpage by analytical and numerical approaches. The complexity of the structures (thousands of components in the wafer) required the use of homogenized models to calculate the wafer warpage. These results obtained allow the prediction of the wafer-level warpage in order to optimize the fabrication process flow and therefore reduce the risk of the mechanical problem
15

Soliman, Lélia. "Caractérisation de composants microélectroniques de test pour la technologie ULSI sur silicium." Rouen, 1999. http://www.theses.fr/1999ROUES048.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Le travail présenté dans cette thèse concerne la caractérisation des composants microélectroniques de test pour la technologie ULSI sur silicium ainsi que l'étude du comportement du bore en profondeur dans les jonctions p +n de très faible épaisseur. Les caractéristiques particulières des technologies de petites dimensions destinées à l'intégration à très grande échelle ont été soulignées tout au long de ce travail. Nous avons montré le rôle important joue par la fine couche d'oxyde de silicium, présenté aussi bien dans les structures métal/oxyde/semiconducteur que bipolaires. Afin de caractériser électriquement les composants électroniques, les méthodes C(v) et G() basées sur la mesure de l'admittance complexe ont été mises en place. Nous avons également présenté une nouvelle méthode de détermination précise de l'épaisseur de la couche d'oxyde en effectuant des mesures à des fréquences supérieures à 1MHz. Le bore est le dopant le plus utilisé par les industriels pour la réalisation des jonctions p +n de très faible épaisseur. Toutefois, il présente une diffusion anormale sous bilan thermique. Des études de caractérisations de jonctions p +n ultra-minces obtenues sur du silicium de type n préalablement préamorphisé au germanium et implanté au bore ont été réalisées. Nous avons obtenu des profils associes à la diffusion anormale du bore sur une profondeur importante dans le substrat, grâce à la correction que nous avons apportée à la mesure isotherme de la capacité du transitoire C(t, t) associée à la DLTS.
16

Hamioud, Karim. "Élaboration et caractérisation des interconnexions pour les nœuds technologiques CMOS 32 et 22 nm." Lyon, INSA, 2010. http://www.theses.fr/2010ISAL0011.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Les performances globales des circuits intégrés doivent augmenter d’environ 20 % à chaque nouvelle génération technologique. Les interconnexions constituant ces circuits ces circuits doivent participer à l’augmentation de ces performances et plus particulièrement à la réduction du temps de propagation des signaux. L’utilisation de diélectrique poreux à très faible permittivité est nécessaire pour les générations sub-45 nm. Dans un premier temps, une feuille de route pour une filière BEOL 32 nm performante est proposée. Les développements de procédés élémentaires ont permis de démontrer la fonctionnalité d’un démonstrateur multi niveaux au minimum des règles de dessin de la technologie 32 nm. Dans un deuxième temps, l’utilisation d’une technologie mature 45 nm a permis l’étude de l’intégration des diélectriques poreux k = 2. 3 et k = 2. 2 qui sont respectivement les candidats potentiels pour les générations 32 et 22 nm. L’introduction de ces matériaux dans l’architecture d’intégration permet d’améliorer les performances des circuits mais la fiabilité diélectrique de ces matériaux se retrouve dégradée par rapport au matériau k = 2. 5 de référence. Ainsi, après avoir mis en évidence les différentes sources de dégradation de la fiabilité diélectrique, une réponse au critère de fiabilité a permis la définition d’un schéma d’architecture fiable. Ce schéma d’intégration fiabilisée et performante utilise une barrière métallique TaN/Ta robuste et l’ajout d’une couche diélectrique supplémentaire dans l’empilement technologique. Ce schéma d’architecture fiable et performant constitue une bonne base de départ pour les futures filières BEOL 32 et 22 nm
[The overall performance of integrated circuits should grow by about 20% at each new technology node. The interconnects have to be involved in increasing the performance and specially the reduction of signal propagation. The use of porous ultra low-k dielectric is necessary for the Sub-45 nm generation. In a first step, a roadmap for the 32 nm BEOL is proposed. The elementary processes developments have demonstrated the functionality of a multi-level demonstrator at minimum design rules of 32 nm technology node. In second step, a mature 45 nm technology has enabled the integration study of porous dielectric k = 2. 3 and k = 2. 2 which are potential candidates, respectively, for the 32 and 22 nm technology nodes. The introduction of these materials in the BEOL architecture scheme improves circuit performance but the dielectric reliability is found damaged from the reference k = 2. 5 material. Consequently, after to have identified the different sources of the dielectric reliability degradation, a response to the reliability standard has allowed the definition of reliable architecture. This reliable architecture used a robust metal barrier TaN/Ta robust and an additional layer in the dielectric stack technology. This reliable and efficient architecture represents a good beginning for the future 32 and 22 nm BEOL technology nodes. ]
17

Bakouboula, Aldrice Georra. "Conception et caractérisation de filtres optiques et de VCSELs accordables à base de micro système sur substrat InP pour les Réseaux optiques multiplexés en longueur d'onde." Lyon, INSA, 2004. http://theses.insa-lyon.fr/publication/2004ISAL0039/these.pdf.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
L'engorgement des réseaux de transmissions optiques et la nécessaire réduction des coûts de déploiement de nouveaux services incitent les opérateurs de télécommunication à proposer des solutions peu onéreuses capables de supporter les hauts débits et d'apporter une flexibilité accrue pour adapter dynamiquement les architectures réseaux aux trafics. Pour répondre à ces besoins, ce travail se propose d'étudier la compatibilité avec les canaux ITU espacés de 100 GHz et l'intégration des micro systèmes actuables par voie électromécanique aux composants optiques fonctionnant à 1,55 æm et fabriqués à l'échelle du micron par des procédés issus de la microélectronique pour le multiplexage en longueur d'onde. Les objets étudiés sont des filtres et des diodes lasers à cavité verticale à base de miroirs de Bragg à fort contraste d'indice InP/Air fabriqués par micro usinage de surface. Ce travail présente les études expérimentales menées pour surmonter les verrous technologiques inhérents à la réalisation de filtres InP/Air accordables et VCSELs MOEMS pompés électriquement. Dans la première partie, nous étudions les filtres accordables et leurs propriétés modales. Cette étude a permis d'obtenir des filtres optimisés avec une sélectivité de 32,5 GHz (0,26 nm) et un taux de rejection compatible avec le WDM. Dans la seconde partie, les études sur les VCSELs pompés optiquement et électriquement ont conduit au premier effet laser à température ambiante d'une structure mixte MOEMS en pompage électrique avec un seuil à 2,8 kA/cm2
Backbone network bottleneck and the cost killing of future service deployments enforce the telecommunication market operators to envisage low cost high bit rate solutions providing both reconfigurable and scalable dynamic capability to the optical network architectures. This work propose to investigate the 100 GHz ITU grid channel spacing compatibility and microelectromechanical system implementation to the microelectronic batch process fabrication of WDM 1. 55 μm tunable optical devices. Filters and vertical cavity laser diodes based on high refractive index contrast InP/Air Bragg mirors are performed by surface micro machining. Experimental investigations which are carried out to overcome inherent technological breakthoughs of InP/Air tunable filters and electrically pumped MOEMS VCSEL fabrication are presented. In the first instance, we have studied the tunable filters and their modal properties. This studies have permitted to obtain an optimized filter structure which exhibit a 32. 5 GHz (0. 26 nm) selectivity and a WDM fitted side mode suppresion ratio. In the second instance, optically and electrically pumped VCSEL investigations have carried out our first room temperature electrical pumping lasing effect of an hybrid MOEMS VCSEL structure with a 2. 8 kA/cm2 current threshold
18

Kahn, Maurice. "Elaboration par PE-MOCVD à injection pulsée et caractérisation de matériaux à forte permittivité de type multicouches ou alliées pour des appliations capacités MIM." Grenoble 1, 2008. http://www.theses.fr/2008GRE10091.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Avec l'augmentation accrue du nombre de fonctions embarquées directement au dessus du circuit intégrés, les capacités Métal Isolant Métal (MIM) sont devenues des composants essentiels en microélectronique. Pour permettre une augmentation de la densité d'intégration des composants, des matériaux à forte permittivité ou high κ sont utilisés comme diélectriques. Cet isolant doit satisfaire plusieurs critères: une forte valeur de capacité surfacique, de faibles courants de fuite ainsi qu'une très bonne stabilité de la capacité surfacique avec la tension appliquée (linéarité en tension). Cependant, aucun n'est parvenu à satisfaire tous les critères, ce qui nécessite d'autres approches comme l'utilisation d'oxyde en structures multicouches ou alliées. De plus, la linéarité en tension des capacités est mal maîtrisée et son origine mal comprise. Ainsi, nous avons tout d'abord étudié le rôle du matériau d'électrode (TiN, Pt, WSi2,3 et WSi2,7) et de son interface avec l'oxyde d'yttrium déposé par MOCVD avec ou sans assistance plasma sur les performances électriques. On observe une dépendance de la linéarité en tension selon le matériau d'électrode utilisée. Un modèle double couche a été proposé pour décrire la non linéarité des capacités MIM en tension. Puis, différentes structures bicouches, multicouches ou alliées ont été étudiées (LaAlO3/Y2O3, structures à base de HfO2 et Al2O3, SrTiO3/Y2O3). Les bicouches SrTiO3/Y2O3 ont permis l'obtention d'une valeur de capacité surfacique de 10 fF/µm² et de minimiser la non-linéarité (paramètre α de -750 ppm/V²)
Because of increasing number of embedded functions in silicon integrated circuits (ICs), Metal-Insulator-Metal (MIM) capacitors become more and more essential devices in microelectronics. To increasing the integration density of devices, high κ material must be used as dielectric. This insulator has to fulfill several requirements such as a high capacitance density, low leakage currents and minimum variation of capacitance values with the voltage bias (so-call the capacitance linearity). However, none can fulfill all the requirements. Therefore, others way shall be study such as oxides in nanolaminates or mixed structures. Moreover, the voltage linearity is badly controlled and its origin misunderstood. Thus, we studied the role of the electrode material (TiN, Pt, WSi2,3 et WSi2,7) and its interface with the yttrium oxide deposited by MOCVD with or without plasma enhanced on electric properties. We notice that the voltage linearity depends on the electrode material used. A double layer model was suggested to describe the MIM capacitance voltage linearity. Then, different bilayers, multilayers and mixed structures was studied (LaAlO3/Y2O3, structures base on HfO2 and Al2O3, SrTiO3/Y2O3). SrTiO3/Y2O3 bilayer structures allowed to obtain a capacitance density of 10 fF/µm² and to minimize the voltage linearity (a quadratic parameter α of -750 ppm/V²)
19

Tavernier, Aurélien. "Développement d'un procédé innovant pour le remplissage des tranchées d'isolation entre transistors des technologies CMOS avancées." Phd thesis, Université de Grenoble, 2014. http://tel.archives-ouvertes.fr/tel-00987019.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Réalisées au début du processus de fabrication des circuits intégrés, les tranchées d'isolation permettent d'éviter les fuites de courant latérales qui pourraient avoir lieu entre les transistors. Les tranchées sont remplies par un film d'oxyde de silicium réalisé par des procédés de dépôt chimiques en phase vapeur (aussi appelés CVD). Le remplissage des tranchées est couramment réalisé par un procédé CVD à pression sub-atmosphérique (SACVD TEOS/O3). Cependant, la capacité de remplissage de ce procédé pour les nœuds technologiques CMOS 28 nm et inférieurs est dégradée à cause de profils trop verticaux dans les tranchées. Cela induit la formation de cavités dans l'oxyde et entraine des courts-circuits. Afin de pallier ce problème, une nouvelle stratégie de remplissage en trois étapes est proposée pour la technologie CMOS 14 nm. Dans la première étape, un film mince d'oxyde est déposé dans les tranchées. Puis, dans la deuxième étape, les flancs du film sont gravés à l'aide d'un procédé de gravure innovant, basé sur un plasma délocalisé de NF3/NH3, permettant de créer une pente favorable au remplissage final réalisé au cours de la troisième étape. Le développement de cette nouvelle stratégie de remplissage s'est déroulé selon plusieurs axes. Tout d'abord, le procédé de dépôt a été caractérisé afin de sélectionner les conditions optimales pour la première étape de la stratégie. Puis, le procédé de gravure innovant a été caractérisé en détail. L'influence des paramètres de gravure a été étudiée sur pleine plaque et sur plaques avec motifs afin de comprendre les mécanismes de gravure et de changement de pente dans les tranchées. Enfin, dans un troisième temps, la stratégie de remplissage a été développée et intégrée pour la technologie CMOS 14 nm. Nous montrons ainsi qu'il est possible de contrôler le changement de pente avec les conditions de gravure et que cette stratégie permet un remplissage des tranchées d'isolation sans cavités.
20

Vanypre, Thomas. "Etude et caractérisation du matériau CuAI1% utilisé en tant que couche de germination pour améliorer les performances de fiabilité des interconnexions des technologies 45 nm et ultérieures." Lyon, INSA, 2008. http://theses.insa-lyon.fr/publication/2008ISAL0033/these.pdf.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Les travaux présentés s'inscrivent dans le cadre du développement des technologies de l'intégration des dispositifs électroniques à base silicium. L'augmentation de la vitesse de commutation conduit à une réduction des dimensions des interconnexions qui relient les transistors entre eux et ainsi diminue la fiabilité des circuits intégrés. Les solutions étudiées pour contrebalancer cette tendance ont comme caractéristique de diminuer les performances électriques des lignes. Le cuivre allié à un pourcent d'aluminium, en tant que couche de germination, est un alliage prometteur pour trouver un optimum entre l'amélioration de la fiabilité et une limitation des variations de résistance dans les interconnexions. L'intégration de ce matériau a permis de valider son influence sur la fiabilité lors de tests d'électromigration. L'analyse physique du CuAl1% nous a permis d'identifier plusieurs phénomènes qui expliquent ces résultats tels que : la meilleure adhérence du CuAl sur les matériaux barrières, la plus grande taille des grains formés et la réduction importante de la diffusion des impuretés présentes dans les interconnexions. Nous avons aussi observé que, pour les procédés technologiques les plus avancés, la présence d'aluminium dégrade peu les performances électriques des interconnexions. Le CuAl permet de plus, grâce à ses performances d'adhérence, l'usage d'une barrière ultra-fine et ultra conforme déposée selon le procédé ALD. Cela conduit à un volume de cuivre plus important dans les lignes et ainsi réduit de façon importante la résistance des interconnexions. Enfin, ce travail a permis d'identifier une propriété de l'alliage CuAl qui n'avait pas été présupposée par la littérature. Le CuAl montre une influence sur la diffusion du cuivre en diminuant fortement la défectuosité et la densité de "hillocks" (protrusions de cuivre) observée lors de la fabrication des puces électroniques. Cette propriété peut permettre une réduction importante des contraintes d'enchaînement lors de la production des circuits intégrés
AThe work presented is part of the development of the integration of electronic devices based silicon. Increasing the speed of switching leads to a reduction of the sizes of interconnections that connect these transistors and thus reduces the reliability of integrated circuits. The solutions studied to counteract this trend have the characteristic to reduce the electrical performance of the lines. Copper alloy to one percent of aluminum, as a layer of germination, an alloy is promising to find an optimum between improved reliability and limitation of movements of resistance in the interconnections. The inclusion of this material has served to validate its effect on reliability when electromigration tests. The physical analysis of CuAl1% we have identified several factors that explain these results such as: the best adhesion on materials CuAl barriers, the larger size of grains formed and significant reduction of the diffusion of impurities in interconnections. We also observed that the technological processes for the more advanced, the presence of aluminum slightly degrades the performance of electrical interconnections. CuAl allows the addition, thanks to its performance of adhesion, the use of a barrier ultra-fine and ultra compliant filed by the ALD process. This leads to a volume of copper higher in the lines and significantly reduced the strength of interconnections. Finally, this work has identified a property of the alloy CuAl which had not been assumed in the literature. CuAl shows the influence on the diffusion of copper by significantly reducing the defect and the density of "hillocks" (copper protrusions) observed during the manufacture of microchips. This property may allow a significant reduction of sequencing constraints in the production of integrated circuits
21

Gorbenko, Viktoriia. "Caractérisation par faisceaux d’ions d’hétérostructures III-V pour les applications micro et optoélectroniques." Thesis, Université Grenoble Alpes (ComUE), 2015. http://www.theses.fr/2015GREAT140/document.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
L'intégration de composés semi-conducteurs III-V sur silicium devrait conduire au développement de nouveaux dispositifs micro- et optoélectroniques performants. Le composé InGaAs de haute mobilité électronique est un candidat prometteur pour le transistor métal-oxyde-semiconducteur à effet de champ à canal n au-delà du noeud technologique 10 nm. En outre les semi-conducteurs III-V sont aussi des matériaux appropriés pour la fabrication de composants optiques (lasers, diodes) et de dispositifs analogiques ultra-haute fréquence et leur intégration sur une plateforme Si ajoutera de nouvelles fonctionnalités pour le réseau de communications optiques. Cependant la miniaturisation des dispositifs et leur intégration dans les architectures 3D nécessitent le développement de méthodes de caractérisation avancées pour fournir des informations sur leur composition physico-chimique avec une résolution à l'échelle nanométrique.Dans cette thèse, les études physico-chimiques des hétérostructures III-V directement élaborées sur plaquettes de Si 300 mm par épitaxie en phase vapeur sont adressées. Les techniques de spectrométrie de masse d'ions secondaires sont utilisées et développées dans le but d'étudier la raideur des interfaces, la composition chimique et le dopage de couches III-V minces dans des architectures 2D et 3D avec une bonne résolution en profondeur. L'analyse quantitative précise sur un puits quantique InGaAs (PQ) pour des architectures 2D et 3D a été réalisée en utilisant les techniques SIMS magnétique et Auger. Pour obtenir le profil chimique des structures III-V étroites et répétitives, une méthode de moyenne des profils a été développée pour ces deux techniques. Egalement, la reconstruction 3D et le profil en profondeur de tranchées individuelles (moins de cent nanomètres de largeur) contenant un PQ d’InGaAs mince obtenu par croissance sélective dans des cavités de dioxyde de silicium en utilisant la méthode de piégeage des défauts par rapport d’aspect ont été obtenus avec succès en utilisant le SIMS à temps de vol ainsi que la sonde atomique tomographique. Enfin, les résultats ont été corrélés avec des mesures de photoluminescence
The integration of III-V semiconductor compounds on silicon should lead to the development of new highly efficient micro- and opto-electronic devices. High mobility InGaAs material is a promising candidate for n-channel metal-oxide semiconductor field-effect transistor beyond the 10 nm technology node. Moreover III-V semiconductors are also suitable materials for fabrication of optical (lasers, diodes) and ultra-high frequency analog devices and their integration on a Si platform will add new functionalities for optical network and communication. However the miniaturization of devices and their integration into 3D architectures require the development of advanced characterization methods to provide information on their physico-chemical composition with nanometer scale resolution.In this thesis, the physico-chemical studies of III-As heterostructures directly grown on 300 mm Si wafers by metalorganic vapor phase epitaxy are addressed. Secondary ion mass spectrometry techniques are used and developed in order to study interfaces abruptness, chemical composition and doping of III-V thin layers in 2D and 3D architectures with high depth resolution. The accurate quantitative analysis on InGaAs quantum wells (QWs) in 2D and 3D architectures was performed using magnetic SIMS and Auger techniques. To obtain the chemical profiling of narrow and repetitive III-V structures the averaging profiling method was developed for both techniques. Additionally, 3D reconstruction and depth profiling of individual trenches (less than hundred nanometer in width) containing thin InGaAs QWs selectively grown in silicon dioxide cavities using the aspect ratio trapping method were successfully obtained using Time-of-flight SIMS and atom probe tomography. Finally, the results were correlated with photoluminescence measurements
22

Bérubé, Benoit-Louis. "Développement d'une technologie NMOS pour la conception de fonctions électroniques avancées." Mémoire, Université de Sherbrooke, 2010. http://savoirs.usherbrooke.ca/handle/11143/1567.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Ce mémoire de maîtrise présente le développement d'une technologie NMOS utilisée en enseignement au 1er et 2e cycle et comme preuve de concepts en recherche à l'Université de Sherbrooke. Le développement est basé sur la technologie JOPE à 6 masques utilisée en enseignement depuis les années 90. Le but de ce projet est d'optimiser ce procédé pour augmenter la reproductibilité des circuits et la densité d'intégration. Les problèmes de JOPE sont une forte résistivité de couche du polySi, une grande résistivité des contacts aluminium/polySi et aluminium/zone active ainsi qu'une grande fluctuation de la tension de seuil des transistors. Le procédé de fabrication JOPE a été optimisé pour créer JOPE2 afin d'améliorer les propriétés physiques des composantes et atteindre les objectifs fixés. Des circuits ont été fabriqués contenant des structures de caractérisations et des circuits numériques et analogiques conçus avec une règle de longueur de grille minimale de 2 [micro]m. La résistivité du polySi de JOPE2 est diminuée d'un facteur 5 en augmentant la température de déposition de la couche par LPCVD et en ajoutant une implantation ionique dédiée en plus de celle déjà prévue avec le procédé autoaligné pour les sources/drains. De cette façon, la résistivité des contacts aluminium à polySi est diminuée d'un facteur 10. La résistivité des contacts aluminium à zone active est diminuée d'un facteur 20 en augmentant la dose d'implantation ionique des sources/drains. JOPE2, tout comme JOPE, présente une variation importante de la tension de seuil causée par les charges d'interfaces Si/SiO[indice inférieur 2] et la variation de la résistivité du substrat utilisée (1 à 10 [oméga]-cm). Le faible rendement du procédé, évalué à 47 %, est causé par la faible stabilité des contacts, la grande densité de défauts et les limitations en ce qui a trait à l'alignement des masques. Pour faire suite à ce projet, un procédé NMOS à 3 [micro]m est recommandé afin d'augmenter le rendement en diminuant l'impact des défauts, améliorant la stabilité des contacts et en augmentant la qualité de l'alignement. De plus, pour augmenter la stabilité de la tension de seuil il est recommandé d'utiliser des tranches hautes résistivité. Le procédé recommandé devrait permettre de fabriquer des circuits complexes basés sur des transistors NMOS avec un rendement de 80 %.
23

Raid, Idir. "Développement de méthodes numériques et de caractérisations expérimentales pour l’étude des contraintes mécaniques et défaillances induites dans les dispositifs microélectroniques avancés." Thesis, Université Grenoble Alpes, 2020. http://www.theses.fr/2020GRALI084.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
La tendance dans l'industrie microélectronique est à la miniaturisation, des transistors au boîtier de circuit intégré. Ce désir constant de compacité est, certes, motivé par des raisons économiques, mais aussi par les perspectives de gain de performance, de puissance et d'ergonomie. Au début des années 1990, la longueur de grille des transistors chez les grands fabricants de semi-conducteurs était de 0,8 µm. Cette longueur a été réduite à 6 nm avec une densité d'intégration de 125 millions de transistors par millimètre carré. Cependant, une telle transition n'est pas sans conséquences, en ce qui concerne les mécanismes de fissuration, ce qui est particulièrement vrai pour les diélectriques ‘’low-k’’. Cette famille de matériaux, bien que poreuse et fragile, est essentielle pour assurer l'isolation dans les circuits d'un Back-End of Line (BEoL) de plus en plus dense, avec une lithographie de plus en plus fine. En outre, en raison de sa porosité, il a également un comportement hydrophile qui réduit considérablement sa qualité d'isolation et sa résistance aux contraintes mécaniques. Pour toutes ces raisons, le ‘’seal ring’’, une structure d'interconnexion en cuivre qui entoure la puce, a été introduit pour (i) assurer l'intégrité mécanique de son périmètre intérieur, contenant la partie électriquement active de la puce, et (ii) pour protéger cette dernière contre l'humidité et l’intrusion de produits chimiques. D'où l'intérêt de ce travail pour traiter les contraintes thermomécaniques et des phénomènes de fissuration qui s'articulent autour du BEoL. Pour ce faire, différentes pistes évoluant autour d'un même axe de recherche, l'intégrité mécanique dans les systèmes de la microélectronique, sont proposées. (i) Deux manières d'évaluer les champs de contraintes dans le silicium actif et le BEoL passif sont étudiées : mise en œuvre de capteurs basés sur les principes de piézorésistance et d'extensométrie respectivement. (ii) La technique de flexion quatre points est étalonnée, et réadaptée pour tenir compte de la longueur des fissures, pour des fissures qui avancent dans des structures à couches minces homogènes (décohésion) et hétérogènes (décollement). (iii) Une approche par éléments finis, combinée à des modèles de zones cohésives dans des conditions de déformation à petite échelle, est proposée pour analyser l'influence de la disposition et de la plasticité du cuivre dans les interconnexions sur la résistance à l'avancée des fissures
The trend in the microelectronics industry is towards miniaturisation, from transistors to the integrated circuit package. This constant desire of compactness is certainly motivated by economic reasons, but also by the perspectives to gain in performance, power and ergonomics. In early 90s, 0.8 µm was the transistors gate length at major semiconductor manufacturers. The length has been reduced to 6 nm with a density of integration of 125 million transistors per square millimetre. However, such a transition does not come without consequences, as far as it concerns fracture mechanisms, it is particularly true regarding the low-k dielectrics. This family of materials, although porous and fragile, is essential to ensure the insulation in the circuitry of an increasingly dense Back-End of Line (BEoL), with increasingly fine lithography. Besides, due to its porosity, it also has a hydrophilic behaviour which greatly reduces both its insulation quality and stress strength. For all these reasons, the seal ring, the copper interconnection structure that encircles the chip, was implemented to (i) ensure the mechanical integrity of its interior, containing the electrically active part of the die, and (ii) to protect it from moisture and other chemicals intrusions. Hence the interest of this work to address the thermomechanical stresses and cracking phenomena which are articulated around the BEoL. To do so, various paths revolving around the same line of research, mechanical integrity in microelectronics systems, are proposed. (i) Two ways of evaluating stress fields in active silicon and passive BEoL are investigated: by implementing sensor structures based on the principles of piezoresistance and extensometry respectively. (ii) The Four-Point Bending technique is benchmarked, and readapted to account for the crack length, for cracks advancing is homogeneous (decohesion) and heterogeneous (debonding) thin films structures. (iii) A Finite Elements approach, combined with Cohesive Zone Models under Small-Scale Yielding conditions, is proposed to analyse the influence of the arrangement and plasticity of copper in the interconnections in the resistance to crack advance
24

Le, Pennec Fabien. "Développement de microcapteurs pour la mesure de dioxyde de carbone (CO2) : application au suivi de la qualité de l’air." Electronic Thesis or Diss., Aix-Marseille, 2022. http://www.theses.fr/2022AIXM0148.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
A la différence de la pollution de l’air extérieur, celle de l’air intérieur est restée relativement peu étudiée jusqu’au début des années 2000. Pourtant, nous passons en moyenne 85 % de notre temps dans des environnements clos (domicile, bureaux, transports…) dans lesquels nous sommes exposés à de nombreux polluants. De nombreuses études ont montré que la mesure de la concentration du dioxyde de carbone, permet d’évaluer le confinement de l’air intérieur. Pour mesurer les polluants, nous pouvons distinguer les analyseurs et les microcapteurs, avec chacun ses avantages et ses inconvénients. Dans le cas de la qualité de l’air intérieur, les microcapteurs de type résistif paraissent comme la solution la plus appropriée, de par leur faible coût, leur haute sensibilité, leur miniaturisation possible et leur faible consommation. Le phénomène de détection s’établit sur la variation de la résistance électrique de l’élément sensible en réponse à un taux d’adsorption du gaz. Mes travaux de recherche se sont concentrés sur l’étude de la couche sensible. Nous avons utilisé la méthode de dépôt par screen printing, technique simple, rapide et peu coûteuse. La structure cristalline et la morphologie ont pu être déterminées ainsi que l’identification des substances chimiques présentes dans nos matériaux suivant des techniques de caractérisations physico-chimiques. Nos résultats ont montré que les capteurs réalisés à base de La2O2CO3 et de BaTiO3, respectivement, présentent de bonnes performances, avec une forte sensibilité au CO2, et un bon taux de répétabilité
Unlike outdoor air pollution, indoor air pollution remained relatively understudied until the early 2000s. However, we spend on average 85% of our time in closed environments (home, offices, transport, etc. in which we are exposed to many pollutants. Numerous studies have shown that measuring the concentration of carbon dioxide makes it possible to assess the confinement of indoor air. To measure pollutants, we can distinguish between analyzers and microsensors, each with its advantages and disadvantages. In the case of indoor air quality, resistive type microsensors appear to be the most appropriate solution, due to their low cost, high sensitivity, possible miniaturization and low power consumption. The detection phenomenon is based on the variation of the electrical resistance of the sensitive element in response to a gas adsorption rate. My research work has focused on the study of the sensitive layer. We used the screen-printing deposit method, a simple, fast and inexpensive technique. The crystalline structure and the morphology could be determined as well as the identification of the chemical substances present in our materials according to physico-chemical characterization techniques. Our results showed that the sensors made from La2O2CO3 and BaTiO3, respectively, present good performances, with a high sensitivity to CO2, and a good repeatability rate
25

Gamez-Cuatzin, Hugo. "Caractérisation électrique et optique d'hétérostructures Si/SiGe/Si pour applications aux transistors à effet de champ à canal p-SiGe à grille isolée ou non isolée." Lyon, INSA, 1998. http://www.theses.fr/1998ISAL0061.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Nous avons étudié les propriétés optiques et électroniques des hétérostructures Si/SiGe dans le but de les appliquer aux dispositifs de la microélectronique silicium. Nous avons analysé le comportement de ces hétérostructures dans le transistor à effet de champ (TEC). L'hétérostructure a été étudiée principalement par photoluminescence et par DLTS. Nous avons mis en évidence l'effet de la température d'épitaxie de l'alliage SiGe : les couches déposées à des températures Tc proches de 610 °c présentent une forte concentration de défauts profonds. La qualité des couches est améliorée par le dépôt à plus haute température (Tc=700 °C). Nous avons déduit la discontinuité de bandes de valence (ΔEv) entre le Si et le Si Ge des caractérisations opto-électroniques de puits quantiques Si/SiGe intégrés dans les capacités métal-oxydesemiconducteur (MOS). Nous avons mis au point une technologie de fabrication de TECs sur une structure à dopage modulé Si/SiGe en configuration de grille non isolée (Schottky). Les composants ont été caractérisés par mesures de courant-tension, spectroscopie de transitoires de courant et mesures de dispersion de conductance. Un courant de fuite important est présent dans ces composants. L'isolement de la grille est une alternative pour s'affranchir de ce problème. Ainsi, sur les transistors à effet de champ à canal p-SiGe à grille isolée (MOS) nous avons mesuré le courant d'émission de porteurs en provenance du puits Si/SiGe. L'ensemble de ces résultats contribue à la compréhension des phénomènes physiques impliqués dans les composants à hétérostructures Si/SiGe
We have studied the optical and electrical properties of Si/SiGe heterostructures for applications to silicon based microelectronics. The behavior of this ki nd of heterostructures as an active region of field effect transistors (FET's) was analyzed. Main characterization of heterostructures was done by photoluminescence and deep level transient spectroscopy (DLTS). The effects of the SiGe layer growth temperature were evidenced: layers deposed at grown temperatures TO close to 610 °c presented a high deep defect concentration. The layers quality is enhanced by the increase of growth temperature (Tc=700 °C). Valence band discontinuity ΔEv between Si and SiGe was deduced from the optical and electrical characterizations of Si/SiGe quantum-well metal-oxide-semiconductor (MOS) capacitors. We have implemented a technology process for elaboration of non insulated (Schottky) gate FET's on modulation doped Si/SiGe structures. The devices were studied by current-voltage measurements, current transient spectroscopy and drain conductance dispersion measurements. On this devices an important gate leakage current was observed. The gate isolation is an alternative to avoid this problem. Therefore, using isolated gate p-channel SiGe field effect transistors (MOSFET's) we successfully measured carrier emission from the Si/SiGe quantum-well. The set of results presented here contributes to the understanding of the physical phenomena involved in Si/SiGe heterostructure based devices
26

Tran, Dinh Phong. "Synthèse et caractérisation de précurseurs de cuivre, or et iridium et études des dépôts de films métalliques correspondants par CVD pour des applications en microélectronique." Paris 11, 2007. http://www.theses.fr/2007PA112248.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Dans ce travail de thèse, nous avons synthétisé et caractérisé une famille des précurseurs non fluorés de cuivre (I) (b-dicétonate)Cu(L) où L représente le BTMSA ou TMSP. Parmi les précurseurs synthétisés, le (5-méthyle-2,4-hexanedionate)Cu(BTMSA) est le précurseur le plus prometteur. A partir de ce précurseur, les films de bonne qualité de cuivre métallique ont été déposés à partir de 170°C sur des supports utilisés en micro-électronique. AuCl(PF3) est un précurseur inorganique ne contenant pas de carbone ; il a été évalué, dans ce travail de thèse, comme précurseur pour déposer de films minces d'or par CVD thermique. En utilisant H2 comme gaz co-réactif, les films minces continus, purs d'or ont été déposés sur supports à partir de 110°C. Nous avons étudié l'influence de la nature de gaz vecteurs (N2, H2), de la température de dépôt sur la réaction de décomposition du précurseur ainsi que sur les caractéristiques des films obtenus. [IrCl(PF3)2]2, de la même famille que le complexe d’or, a été utilisé comme précurseur pour le dépôt CVD d'iridium, pour la première fois, dans ce travail de thèse. Ce précurseur inorganique est volatil mais très sensible à l’air. Nous l'avons généré "in-situ" dans le réacteur de dépôt CVD à partir de IrCl(PF3)4, un produit plus stable et manipulable. Sous N2, [IrCl(PF3)2]2 se décompose à partir de 240°C donnant des films compacts, extrêmement purs d’iridium métallique sur supports
A novel series of fluorine free copper (I) precursors, (b-diketonate)Cu(L) (L = BTMSA or TMSP), has been synthesized by acid-base reaction and characterized. Of these precursors, (5-methyl-2,4-hexanedionate)Cu(BTMSA) and (5,5-dimethyl-2,4-hexanedionate)Cu(BTMSA) are the most interested ones. Use of these precursors, the continuous, pure and electrically conducting copper thin films were grown on Ta/TaN from 170°C with high deposition rates (50 nm/min). AuCl(PF3) has been also evaluated for Au thermal CVD in this work. This inorganic precursor was used in solid form (with a conventional bubbler) as well as in a solution with toluene solvent (use of a liquid delivery system). Use of H2 as co-reactant gas, continuous and pure gold metallic thin films were deposited on Ta/TaN from 110°C. The impact of carrier gas nature (N2, H2) and deposition temperature on the precursor deposition reaction as well as on the properties of grown gold films has been investigated. [IrCl(PF3)2]2 has been used, for the first time in this work, as iridium source for Ir CVD. This inorganic precursor is very volatile but unstable. Hence, in this work, we have synthesized this precursor "in-situ" in the CVD reactor from IrCl(PF3) which is more stable and experimental. Under N2 carrier gas, compact, conformal and highly pure iridium thin films were grown on SiO2/Si from 240°C. We have also studied the influence of carrier gas nature (N2, H2, or O2) as well as the deposition temperature on the growth of iridium films
27

Almoric, Jean. "Développement d'un nouvel instrument couplant FIB/SEM UHV et OTOF-SIMS à haute résolution spatiale pour la microélectronique et ses applications." Electronic Thesis or Diss., Aix-Marseille, 2021. http://www.theses.fr/2021AIXM0368.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
La spectrométrie de masse d’ion secondaire (SIMS) est probablement la technique d'analyse chimique la plus largement utilisée en science des semi-conducteurs et en métallurgie en raison de sa sensibilité ultime à tous les éléments notamment au plus légers. Avec la réduction de la taille des systèmes, l'imagerie chimique 3D haute résolution devient une condition préalable au développement de nouveaux matériaux. Dans cette thèse, nous rapportons le développement et l’optimisation d'un SIMS innovant implémenté dans un microscope électronique à balayage. L'équipement permet d’obtenir une cartographie chimique élémentaire à très haute résolution (~25nm). La capacité de la technique est démontrée avec la caractérisation à l'échelle nanométrique d’une part de superalliages métalliques nécessaire à la fabrication de pièces moteurs pour l’aviation et d’autre part d’alliages chalcogénures utilisés dans les mémoires à changement de phase de dernière génération développées en microélectronique
Secondary Ion Mass Spectrometry (SIMS) is probably the most widely used chemical analysis technique in semiconductor science and metallurgy because of its ultimate sensitivity to all elements, especially the lighter ones. With systems downsizing, high-resolution 3D chemical imaging is becoming a prerequisite for the development of new materials. In this thesis, we report the development and optimization of an innovative SIMS implemented in a scanning electron microscope. The equipment makes it possible to obtain elementary chemical mapping at very high resolution (~25nm). The capacity of the technique is demonstrated with the characterization at the nanometric scale on the one hand of metallic superalloys necessary for the manufacture of aircraft engine parts and on the other hand of chalcogenide alloys used in the latest generation phase change memories developed in microelectronics
28

Brunet, Laurent. "Caractérisation électrique et fiabilité des transistors intégrant des diélectriques High-k et des grilles métalliques pour les technologies FDSOI sub-32nm." Phd thesis, Aix-Marseille Université, 2012. http://tel.archives-ouvertes.fr/tel-00847881.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
L'intégration de diélectriques High- k dans les empilements de grille des transistors a fait naître des problèmes de fiabilité complexes. A cela vient s'ajouter, en vue des technologies sub-32nm planaires, de nouvelles problématiques liées à l'utilisation de substrats silicium sur isolant complètement désertés FDSOI. En effet, l'intégration d'un oxyde enterré sous le film de silicium va modifier électrostatique de la structure et faire apparaître une nouvelle interface Si/SiO2 sujette à d'éventuelles dégradations. Ce manuscrit présente différentes méthodes de caractérisation électrique ainsi que différentes études de fiabilité des dispositifs FDSOI intégrants des empilements High- /grille métallique. Dans un premier temps, une étude complète du couplage électrostatique dans des structures FDSOI est réalisée, permettant de mieux appréhender l'effet d'une tension en face arrière sur les caractéristiques électriques des dispositifs. Différentes méthodes de caractérisation des pièges d'interface sont ensuite présentées et adaptées, lorsque possible, au cas spécifique du FDSOI, où les défauts entre le film de silicium et l'oxyde enterré doivent être pris en compte. Enfin, différentes études de fiabilité sont présentées, des phénomènes de PBTI et de NBTI sur des dispositifs à canaux longs aux phénomènes propres aux dispositifs de petite dimension, tels que l'impact des porteurs chauds dans des structures FDSOI à film ultra fins et les effets d'augmentation de tension de seuil lorsque les largeurs de grille diminuent.
29

Mbitsi, Hermane. "Synthèse de nanotubes de carbone pour l'obtention de vias d'interconnexions électriques et de drains thermiques." Phd thesis, Université d'Orléans, 2010. http://tel.archives-ouvertes.fr/tel-00637823.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Les travaux de recherche de ce manuscrit s'inscrivent dans le cadre d'une coopération scientifique avec la société STMicroelectronics de Tours concernant les interconnexions des prochaines générations de circuits intégrés. L'intégration de nanotubes de carbone comme connecteurs en microélectronique de puissance, limiterait sévèrement les effets d'échauffements dans les empilements de puces, permettant une meilleure dissipation de la chaleur. Ce travail de thèse avait pour objectif de déterminer un procédé de croissance reproductible de nanotubes de carbone d'au moins 20 dm de long, en tapis perpendiculaire au substrat, peu pollué par du carbone amorphe afin de réaliser un véhicule test permettant de mesurer les propriétés thermiques et électriques du tapis de nanotubes obtenu. Le dispositif expérimental présenté utilise l'ablation laser pour le dépôt de catalyseur (fer) la méthode de CVD assistée par plasma radiofréquence d'éthylène et d'hydrogène pour la croissance de nanotubes de carbone. Des conditions optimales d'obtention des tapis répondant aux critères de réalisation des démonstrateurs, ont été définies à la suite d'une étude paramétrée. Pour les mesures électriques, des plots d'or servant d'électrodes, sont déposés sur les tapis de nanotubes. Lors des tests électriques 4 pointes sur le démonstrateur réalisé, le comportement ohmique des tapis de nanotubes a été mis en évidence. Une puissance de 300 mW/mm2 est déposée sur les plots sans aucun dommage pour les nanotubes, et une résistivité de l'ordre de 10-3 L.m a été estimée. Pour les tests thermiques, une couche mince de titane absorbant l'énergie d'un faisceau laser UV pulsé représentant la source de chaleur, est déposée sur le tapis de nanotubes. Des valeurs de conductivité thermique apparente de 200 - 300 W/m/K et intrinsèque de 660W/m/K ont été déterminées par méthode de pyrométrie infrarouge résolue en temps.
30

Reche, Jérôme. "Nouvelle méthodologie hybride pour la mesure de rugosités sub-nanométriques." Thesis, Université Grenoble Alpes (ComUE), 2019. http://www.theses.fr/2019GREAT050.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
La détermination de la rugosité sub-nanométrique sur les flancs des motifs, dont les dimensions critiques atteignent une taille inférieure à 10nm, devient une étape primordiale. Mais à ce jour aucune technique de métrologie n'est suffisamment robuste pour garantir un résultat juste et précis. Une voie actuellement en cours d'exploration pour la mesure dimensionnelle consiste à hybrider différentes techniques de métrologie. Pour ce faire, des algorithmes de fusion de données sont développés afin de traiter les informations issues de multiples équipements de métrologie. Le but étant donc d’utiliser ce même type de méthode pour la mesure de rugosité de ligne. Ces travaux de thèse explicitent tout d’abord les progrès de méthodologie de mesure de rugosité de ligne au travers de la décomposition fréquentielle et des modèles associés. Les différentes techniques utilisées pour la mesure de rugosité de lignes sont présentées avec une nouveauté importante concernant le développement et l’utilisation de la technique SAXS pour ce type de mesure. Cette technique possède un potentiel élevé pour la détermination de motifs sub nanométriques. Des étalons de rugosités de ligne sont fabriqués, sur la base de l’état de l’art comportant des rugosités périodiques, mais aussi, des rugosités plus complexes déterminées par un modèle statistique utilisé normalement pour la mesure. Ces travaux se focalisent finalement sur les méthodes d’hybridation et plus particulièrement sur l’utilisation de réseaux de neurones. Ainsi, la mise en place d’un réseau de neurones est détaillée au travers de la multitude de paramètres qu’il comporte. Le choix d’un apprentissage du réseau de neurones sur simulation mène à la nécessité de savoir générer les différentes métrologies en présence
Roughness at Sub-nanometric scale determination becomes a critical issue, especially for patterns with critical dimensions below 10nm. Currently, there is no metrology technique able to provide a result with high precision and accuracy. A way, based on hybrid metrology, is currently explored and dedicated to dimensional measurements. This hybrid metrology uses data fusion algorithms in order to address data coming from different tools. This thesis presents some improvements on line roughness analysis thanks to frequency decomposition and associated model. The current techniques used for roughness determination are explained and a new one SAXS (Small Angle X-rays Scattering) is used to push again limits of extraction of roughness. This technique has a high potential to determine sub nanometrics patterns. Moreover, the design and manufacturing of reference line roughness samples is made, following the state of art with periodic roughness, but also more complex roughness determined by a statistical model usually used for measurement. Finally, this work focus on hybridization methods and more especially on neural network utilization. Thus, the establishment of a neural network is detailed through the multitude of parameters which must be set. In addition, training of the neural network on simulation leads to the capability to generate different metrology
31

Brunet, Laurent. "Caractérisation électrique et fiabilité des transistors intégrant des dielectriques High-k et des grilles métalliques pour les technologies FDSOI sub-32nm." Thesis, Aix-Marseille, 2012. http://www.theses.fr/2012AIXM4728/document.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
L'intégration de diélectriques High-k dans les empilements de grille des transistors a fait naître des problèmes de fiabilité complexes. A cela vient s'ajouter, en vue des technologies sub-32nm planaires, de nouvelles problématiques liées à l'utilisation de substrats silicium sur isolant complètement désertés FDSOI. En effet, l'intégration d'un oxyde enterré sous le film de silicium non seulement va modifier l'électrostatique de la structure mais aussi introduire une nouvelle interface Si/SiO2 sujette à d'éventuelles dégradations. Ce manuscrit présente différentes méthodes de caractérisation électrique ainsi que différentes études de fiabilité des dispositifs FDSOI intégrants des empilements High-κ/ grille métallique. Dans un premier temps, une étude complète du couplage électrostatique dans des structures FDSOI est réalisée, permettant de mieux appréhender l'effet d'une tension en face arrière sur les caractéristiques électriques des dispositifs. Différentes méthodes de caractérisation des pièges d'interface sont ensuite présentées et adaptées, quand cela est possible, au cas spécifique du FDSOI, où les défauts entre le film de silicium et l'oxyde enterré doivent être pris en compte. Enfin, différentes études de fiabilité sont présentées, des phénomènes de PBTI et de NBTI sur des dispositifs à canaux longs aux phénomènes propres aux dispositifs de petite dimension, tels que l'impact des porteurs chauds dans des structures FDSOI à film ultra fins et les effets parasites d'augmentation de la tension de seuil lorsque les largeurs des transistors diminuent
The integration of High-k dielectrics in recent CMOS technologies lead to new complex reliability issues. Furthermore new concerns appear with the use of fully depleted silicon on insulator (FDSOI) substrates for future sub-32nm planar technologies. Indeed, the integration of a buried oxide underneath the silicon film changes the electrostatic of the structure and create a new Si/SiO2 interface which may be degraded. This thesis presents different electrical characterization techniques and reliability studies on High-κ/metal gate FDSOI transistors. First, a complete electrostatic study of FDSOI structures is done allowing a better understanding of the effects of backgate biases. Different techniques to characterize interface traps are then presented and adapted to FDSOI devices, where traps at the silicon film/buried oxide interface must be considered. Finally, different reliability studies are presented; from NBTI and PBTI issues on long channel devices to specific concerns related to small gate length transistors such as hot carriers degradation on ultra-thin film FDSOI devices and threshold voltage increase with gate width scaling
32

Collin, Louis-Michel. "Intégration de microcanaux pour l'évacuation forcée de la chaleur au sein de puces 2D et 3D." Thesis, Lyon, 2016. http://www.theses.fr/2016LYSEI074/document.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
En microélectronique, plusieurs tendances telles que l'empilement 3D et l'amincissement de puces amènent des défis thermiques grandissants. Ces défis sont exacerbés lorsqu'appliqués aux appareils mobiles où l'espace et la puissance disponibles pour le refroidissement sont limités. Le but de cette thèse est de développer des outils de conception et méthodes d'implémentation de microcanaux pour le refroidissement microfluidique de puces 2D et 3D avec points chauds destinés aux appareils mobiles.Une méthode de conception pour optimiser la configuration des microcanaux refroidissant une puce est développée utilisant un plan d'expériences numériques. La configuration optimisée propose le refroidissement à une température maximale de 89 °C d'un point chaud de 2 W par un écoulement où la perte de charge est plus petit que 1 kPa. Des prototypes avec différents empilements et distributions de microcanaux sont fabriqués par gravure profonde et apposés par pick-and-place. Un banc de caractérisation et une puce thermique test sont fabriqués pour caractériser expérimentalement les prototypes de refroidissement avec différentes configurations. Un prototype avec microcanaux limités aux alentours des points chauds et reportés sur la face arrière de la puce test atteint une résistance thermique de 2.8 °C/W. Cela est réalisé avec un débit de 9.4 ml/min et des pertes de charges de 19.2 kPa, soit une puissance hydraulique de 3 mW. Ce refroidissement extrait 7.3 W générés sur un seul serpentin à un flux thermique de 1 185 W/cm² pour un coefficient de performance de 2 430. Les résultats de l'optimisation suggèrent que la dissipation thermique soit exploitée en ajoutant des microcanaux en parallèle, plutôt qu'en allongeant les microcanaux. On observe expérimentalement comme numériquement que la résistance liée à la hausse de température du fluide domine la résistance totale. Enfin, il apparaît que les différents empilements ont un effet plus important sur la résistance thermique que les distributions de microcanaux dans les plages observées
In microelectronics, trends such as 3D stacking and die thinning bring major thermal challenges. Those challenges are exacerbated when applied to mobile devices where the available space and power for cooling are limited. This thesis aims at developing design tools and implementation techniques for microchannels cooling on 2D and 3D chips with hot spots for mobile devices. A design technique to optimize the microchannel configuration for chip cooling is developed using numerical experimentation plans. The optimized configuration suggests a cooling configuration reaching a maximum temperature of 89 °C on a 2 W hot spot, using a flow at a pressure drop plus petit que 1 kPa. Prototypes with different stacking and microchannel distributions are fabricated using deep reactive ion etching process and stacked using pick-and-place technique. A characterization bench and a thermal test chip are fabricated for experimental characterization of the cooling prototypes from various configurations. A prototype with microchannel zones limited to the hot spot vicinity and installed on the backside of the test chip reached a thermal resistance of 2.8 °C/W. This performance is achieved using a flow rate of 9.4 ml/min with a pressure drop of 19.2 kPa, representing a hydraulic power of 3 mW. Such cooling removes 7.3 W generated on a single heat source, representing a heat flux of 1 185 W/cm² for a coefficient of performance of 2 430. The optimization results suggest that the heat spreading is better exploited using parallel microchannels, rather than lengthen microchannels. It is both observed experimentally and numerically that the thermal resistance related to the fluid temperature rise is the major contribution to the total thermal resistance. Finally, it appears that the different stacking effects on thermal resistance are more important than the microchannels distributions in the observed ranges
33

Bernoux, Beatrice. "Caractérisation de MOSFETs de puissance cyclés en avalanche pour des applications automobiles micro-hybrides." Phd thesis, INSA de Toulouse, 2010. http://tel.archives-ouvertes.fr/tel-00509151.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Les travaux de recherche présentés dans ce mémoire, portent sur la conception et l'étude de MOSFETs de puissance faible tension pour des applications automobiles micro-hybrides de type alterno-démarreur. Pour certaines de ces applications, en plus des modes de fonctionnement standards passant et bloqué, les composants développés doivent être capables de fonctionner en mode d'avalanche à fort courant et à des températures élevées. Pour reproduire en laboratoire ces conditions de fonctionnement, les MOSFETs sont soumis à un test UIS répétitif spécifique. Afin d'évaluer la température du silicium pendant ce test, plusieurs méthodes de mesure de température ont été développées et comparées. En parallèle, un suivi des paramètres électriques standards (BVDSS, IDSS, RDSon&) tout au long du test est effectué, dans le but de déterminer l'impact de l'avalanche répétitive sur le transistor. Seule la RDSon des MOSFETs semble évoluer avec le nombre d'impulsions d'avalanche. Ce phénomène est expliqué par la méthode de mesure de RDSon et par la variation de la résistance du métal source pendant le cyclage. En effet, différentes observations ont permis de constater un vieillissement de la métallisation de source du composant, accompagné d'une modification de sa résistivité. Divers types de métaux et de techniques d'assemblage ont alors été expérimentés pour tenter de limiter cet effet. Aussi des structures de test ont été conçues pour étudier l'évolution du métal et pour pouvoir comparer rapidement le comportement de différentes métallisations.
34

Avertin, Sebastien. "Développement et caractérisation de procédés de gravure plasma de T.S.V (Through Silicon Via) pour l'intégration tridimensionnelle de circuits intégrés." Phd thesis, Université de Grenoble, 2012. http://tel.archives-ouvertes.fr/tel-00771420.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Les dictats de la course à la miniaturisation et à l'accroissement des performances suivit par les industriels de la microélectronique, se heurte aujourd'hui aux limites physiques, technologiques et économiques. Une alternative innovante pour dépasser ces inconvénients, réside en l'intégration tridimensionnelle de circuits intégrés. Cette technologie consiste à empiler verticalement différents niveaux de circuits aux fonctionnalités diverses. Elle ouvre la voie à des systèmes multifonctions ou hétérogènes, aux performances électriques bien meilleures que les circuits bidimensionnels existants. L'empilement de ces puces est réalisable par l'intermédiaire de vias traversant nommés " Though Silicon Via " (" TSV "), qui sont obtenus par la succession de différentes étapes technologiques, dont une d'entre elles consiste à réaliser par gravure plasma, des microcavités profondes à travers le silicium. Actuellement deux procédés de gravure plasma sont principalement utilisés pour la conception de " TSV ", le procédé Bosch et le procédé cryogénique, avec dans les deux cas des avantages et des inconvénients différents. L'objet de cette thèse s'inscrit dans le développement d'un procédé de gravure plasma innovant et alternatif à ceux actuellement utilisés, afin de limiter leurs inconvénients (rugosité de flancs, manque de contrôle des profils, basse température...). Dans cette logique deux procédés de gravure profonde ont été envisagés, exploitant les chimies de gravure SF6/O2/HBr et SF6/O2/HBr/SiF4. L'ensemble de l'étude vise à une meilleure compréhension des mécanismes de gravure et de passivation des cavités à fort facteur de forme grâce en particulier à l'exploitation des techniques d'analyse de surface par XPS.
35

Hernandez, Stephan. "Conception, réalisation et caractérisation de filtres optiques nanostructurés à bande étroite pour applications spatiales à 0.85 µm." Phd thesis, Université Paul Sabatier - Toulouse III, 2008. http://tel.archives-ouvertes.fr/tel-00339517.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Les récents concepts de la nanophotonique et les progrès considérables des procédés de réalisation de la microélectronique devraient conduire à l'émergence de nouvelles générations de composants optiques. L'objectif de cette thèse est ainsi de proposer des filtres optiques innovants, répondant aux besoins des communications spatiales à 0.85 µm, et susceptibles de lever les limitations des filtres usuels, en particulier en termes de largeur spectrale, sensibilité à la polarisation, fonctionnement en incidence oblique et ajustement spectral. Le travail de thèse porte sur la conception, fabrication et caractérisation de filtres à réseaux résonants associant un empilement multicouche et une nanostructuration de surface bidimensionnelle. A partir de la modélisation électromagnétique de la structure, une méthodologie de conception est développée et permet d'établir les paramètres caractéristiques de la maille photonique. Un procédé de fabrication comportant les étapes de dépôt de couches minces, lithographie électronique et gravure sèche est mis au point. Les composants fabriqués présentent des caractéristiques qui sont à l'état de l'art en incidence normale (largueur spectrale de 0.4 nm, réflexion à la résonance de 55%...) et en incidence oblique à ~60° (largeur spectrale < 0.8 nm, indépendance à la polarisation, accordabilité). L'aspect générique du procédé de réalisation et des performances obtenues permettent de considérer que ces filtres seront d'excellents candidats pour remplacer les filtres multi-couches conventionnels. De plus, la compatibilité de leur procédé de fabrication avec ceux de la microélectronique ouvre la voie à des fonctions optiques intégrées avancées.
36

Sauveplane, Jean-Baptiste. "Caractérisation thermomécanique de films métalliques déposés en couche mince pour la simulation de la fiabilité de composants microélectroniques de puissance." Phd thesis, INSA de Toulouse, 2007. http://tel.archives-ouvertes.fr/tel-00158019.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
La fiabilité de la simulation thermomécanique de composants de puissance est fortement liée à la précision des paramètres mécaniques tels que le module de Young (E) et le coefficient de dilatation thermique (CTE) des matériaux le constituant. La plupart du temps, les valeurs disponibles dans la littérature concernent les matériaux massifs, de plus leurs variations en fonction de la température ainsi que l'évolution de ces propriétés lors de cycles de fatigue sont rarement données. Afin de répondre à ce besoin, une technique a été développée utilisant une micro poutre bicouche (cantilever) qui possède la propriété de se courber lorsqu'elle subit un échauffement. Le module de Young et le cSfficient de dilation thermique de l'aluminium de 4µm et 10µm d'épaisseur, déposé par DC magnétron sputering, ont ainsi été mesurés avec précision. Les structures ont ensuite été soumises à des vibrations harmoniques forcées afin de caractériser l'évolution du module de Young lors de cycles de fatigue répétés. Les propriétés mécaniques des matériaux déterminées de manière expérimentale ont été implémentées dans un modèle éléments finis d'un composant de puissance à très faible résistance à l'état passant (RON) de Freescale semiconducteur. Des simulations électro-thermo-mécaniques ont été effectuées permettant d'évaluer l'impact des connexions entre la puce et le boîtier sur le RON du composant, sur la distribution des températures ainsi que sur les contraintes générées dans les matériaux.
37

Avertin, Sébastien. "Développement et caractérisation de procédés de gravure plasma de T.S.V (Through Silicon Via) pour l'intégration tridimensionnelle de circuits intégrés." Thesis, Grenoble, 2012. http://www.theses.fr/2012GRENT029/document.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Les dictats de la course à la miniaturisation et à l'accroissement des performances suivit par les industriels de la microélectronique, se heurte aujourd'hui aux limites physiques, technologiques et économiques. Une alternative innovante pour dépasser ces inconvénients, réside en l'intégration tridimensionnelle de circuits intégrés. Cette technologie consiste à empiler verticalement différents niveaux de circuits aux fonctionnalités diverses. Elle ouvre la voie à des systèmes multifonctions ou hétérogènes, aux performances électriques bien meilleures que les circuits bidimensionnels existants. L'empilement de ces puces est réalisable par l'intermédiaire de vias traversant nommés « Though Silicon Via » (« TSV »), qui sont obtenus par la succession de différentes étapes technologiques, dont une d'entre elles consiste à réaliser par gravure plasma, des microcavités profondes à travers le silicium. Actuellement deux procédés de gravure plasma sont principalement utilisés pour la conception de « TSV », le procédé Bosch et le procédé cryogénique, avec dans les deux cas des avantages et des inconvénients différents. L'objet de cette thèse s'inscrit dans le développement d'un procédé de gravure plasma innovant et alternatif à ceux actuellement utilisés, afin de limiter leurs inconvénients (rugosité de flancs, manque de contrôle des profils, basse température…). Dans cette logique deux procédés de gravure profonde ont été envisagés, exploitant les chimies de gravure SF6/O2/HBr et SF6/O2/HBr/SiF4. L'ensemble de l'étude vise à une meilleure compréhension des mécanismes de gravure et de passivation des cavités à fort facteur de forme grâce en particulier à l'exploitation des techniques d'analyse de surface par XPS
The dictates of miniaturization and increased performance followed by microelectronics manufacturers faces currently physical, technological and economic limitations. An innovative alternative to these problems is the three-dimensional integration of integrated circuits. This technology involves the vertical stacking of different levels of functionality on the various circuits, and thus opens the way for multifunctional or heterogeneous systems, with electrical performance that are much better than those existing in the two-dimensional circuits. The stacking of these chips is achievable through crossing vias named TSV for "Through Silicon Via", which are obtained by the succession of different technological steps,. One of these steps is the realization by plasma etching of deep silicon microcavities. Currently two plasma etch processes are mainly used for the design of TSV or other silicon structures, the Bosch Process and the Cryogenic process, in both cases with different advantages and disadvantages. The purpose of this thesis is to develop an innovative and alternative plasma etching method comparing to those currently used, to minimize their disadvantages (sidewall roughness, lack of profiles control, low temperature ...). In this logic two deep etch processes have been considered, exploiting SF6/O2/HBr and SF6/O2/HBr/SiF4 etching chemistries. All the studies focuses at better understanding of the mechanisms of etching and passivation of high aspect ratio cavities, especially through exploitation of XPS surface analysis
38

Vavrille, Benjamin. "Développement d'une méthode innovante de mesures des propriétés thermomécaniques de films minces. Application à un dispositif imageur." Electronic Thesis or Diss., Université Grenoble Alpes, 2023. http://www.theses.fr/2023GRALI126.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Les polymères sont des matériaux de plus en plus utilisés dans le domaine de la microélectronique. Outre les propriétés électriques ou optiques intéressantes pour les intégrations, ils présentent généralement de forts contrastes de propriétés thermomécaniques avec les substrats semi-conducteurs mais aussi avec les autres matériaux également intégrés dans les dispositifs, tels que les oxydes ou les métaux. Cette inadéquation entre les matériaux provoque généralement une forte augmentation des contraintes dans les différentes couches considérées, ce qui entraine en retour une forte augmentation de la courbure de la plaque. Des contraintes trop intenses peuvent provoquer l'apparition de fissure ou un délaminage, menaçant l'intégrité mécanique de la structure. Connaître les propriétés de chaque couche, en particulier des films polymères, permet aux concepteurs de vérifier la compatibilité des matériaux intégrés et de garantir la fiabilité du composant. Toutefois pour atteindre cet objectif, il est essentiel de développer des techniques de caractérisation de ces propriétés thermomécaniques spécifiquement adaptées pour les films minces déposés sur substrat.Ainsi, l'objectif de ce travail est de mettre au point une méthode expérimentale de détermination précise et réaliste des propriétés thermomécaniques des couches d'intégration, et ensuite de vérifier à l'aide d'outils de simulation analytiques ou numériques, l'intégrité mécanique des dispositifs microélectroniques. Cette méthode est basée sur la mesure de l'évolution de la courbure des plaques au cours de cycles en température. Elle permet non seulement de vérifier l'achèvement du processus de réticulation des polymères, mais aussi d'estimer leurs températures de transition vitreuse. En mesurant la courbure en température de deux substrats distincts sur lesquels sont déposés un même matériau polymère, la méthode développée permet de déterminer le module biaxial et le coefficient de dilatation thermique du film. La caractérisation d'un grand nombre de polymères par cette technique permet de constituer une base de données matériaux que l'on peut compléter avec les propriétés des autres matériaux intégrés. Ces données alimentent des modélisations dans le but de prédire le niveau de déformation de plusieurs dispositifs utilisés en microélectronique.Nous étudierons en particulier le cas des capteurs d'images en réalisant un calcul prédictif des déformations et des contraintes dans les empilements afin d'examiner la compatibilité des différents matériaux. Nous travaillerons également sur l'intégrité mécanique de ces dispositifs, afin de garantir leur fabrication et leur fiabilité dans le temps. Nous montrerons que le choix des matériaux est facilité par la modélisation des structures et qu'il est également possible d'étudier l'initiation et la propagation de fissures à l'aide de modèles numériques
Polymers are very widespread in microelectronics. In addition to their relevant electrical and optical properties for integration, their thermomechanical properties generally exhibit a high contrast with semiconductor substrates, but also with other materials also integrated into microchips, like oxides or metals. This mismatch between materials generally leads to a sharp increase of stresses in the various layers under consideration, which in returns results of a sharp increase in the wafer curvature. Excessive stresses can lead to cracking or delamination, threatening the mechanical integrity of the structure. Knowing the properties of each layer, especially polymer films, enables designers to verify the compatibility of integrated materials and guarantee component reliability. However, to achieve this goal, it is mandatory to develop characterization techniques, especially for thin films deposited on substrates.Thus, the aim of this work is to develop an experimental method to determine the thermomechanical properties of integrated layers, and then to verify the mechanical integrity of microelectronic devices using analytical or numerical simulation tools. This method is based on measuring the variation of curvature during thermal cycles. Then the completion of the polymer cross-linking process can be checked and its temperature of glass transition can be determined. By measuring the thermally induced curvature of two distinct substrates with the same deposited polymer material, the biaxial modulus and the coefficient of thermal expansion of the film are determined. By characterizing a large number of polymers using this technique, we can build up a materials database that can be supplemented with other integrated materials. These data are used in modeling to predict the strain and stress levels of several devices used in microelectronics.In particular, we will study the case of image sensors by performing a predictive calculation of strain and stress distributions of stacks in order to examine the compatibility of different materials. We will also work on the mechanical integrity of these devices, to guarantee their manufacture and reliability over time. We will show that the material selection is eased by structural modeling and a method to study crack initiation and propagation using numerical models
39

Hernandez, Stephan. "Conception, réalisation et caractérisation de filtres optiques nanostructurés à bande étroite pour applications spatiales à 0. 85 µm." Toulouse 3, 2008. http://thesesups.ups-tlse.fr/368/.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Les récents concepts de la nanophotonique et les progrès considérables des procédés de réalisation de la microélectronique devraient conduire à l'émergence de nouvelles générations de composants optiques. L'objectif de cette thèse est ainsi de proposer des filtres optiques innovants, répondant aux besoins des communications spatiales à 0. 85 µm, et susceptibles de lever les limitations des filtres usuels, en particulier en termes de largeur spectrale, sensibilité à la polarisation, fonctionnement en incidence oblique et ajustement spectral. Le travail de thèse porte sur la conception, fabrication et caractérisation de filtres à réseaux résonants associant un empilement multicouche et une nanostructuration de surface bidimensionnelle. A partir de la modélisation électromagnétique de la structure, une méthodologie de conception est développée et permet d'établir les paramètres caractéristiques de la maille photonique. Un procédé de fabrication comportant les étapes de dépôt de couches minces, lithographie électronique et gravure sèche est mis au point. Les composants fabriqués présentent des caractéristiques qui sont à l'état de l'art en incidence normale (largueur spectrale de 0. 4 nm, réflexion à la résonance de 55%. . . ) et en incidence oblique à ~60° (largeur spectrale < 0. 8 nm, indépendance à la polarisation, accordabilité). L'aspect générique du procédé de réalisation et des performances obtenues permettent de considérer que ces filtres seront d'excellents candidats pour remplacer les filtres multi-couches conventionnels. De plus, la compatibilité de leur procédé de fabrication avec ceux de la microélectronique ouvre la voie à des fonctions optiques intégrées avancées
New concepts in nanophonotonics and progress in microelectronic fabrication processes should lead to a new generation of optical components. The goal of this thesis is to propose such innovative optical filters for space application for 0. 85 µm wavelengths in order to overstep the usual filters limitations, particularly in term of bandwidth, polarization sensibility, oblique incidence and tunability. This PhD project is about conception, fabrication and characterization of resonant grating filters, composed by a dielectric multilayer and a bi-dimensional nanostructuration on the top. From the electromagnetic modeling of the devices, a conception methodology is developed leading to the parameters of the photonic lattice. A fabrication process including steps of thin film deposition, e-beam lithography and dry etching is developed. Thickness of the deposited layers and lattice parameters are controlled within nanometer precision. The optical characterization of the fabricated devices demonstrates state of art performances for normal incidence (bandwidth of 0. 4 nm, reflexion higher than 55%. . . ) and for oblique incidence at ~60° (bandwidth <0. 8 nm, polarization independence, tunability. . . ). These results fit well with the performances predicted by the theoretical studies. The generic aspect of the realization process and the achieved performances show that these components are good candidates to replace conventional multi-layer filters. Moreover, their fabrication processes compatibility with those from microelectronics opens the way to further integration of these filters on chips with other advanced functions, leading to new complex optical devices
40

Delcroix, Pierre. "Etude à l'échelle nanométrique par sonde locale de la fiabilité de diélectriques minces pour l'intégration dans les composants microélectroniques du futur." Phd thesis, Université de Grenoble, 2012. http://tel.archives-ouvertes.fr/tel-00822926.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Afin de pouvoir continuer la miniaturisation de la brique de base des circuits électroniques, le transistor MOS, l'introduction d'oxyde de grille à haute permittivité était inévitable. Un empilement de type high-k/grille métal en remplacement du couple SiO2 /Poly-Si est introduit afin de limiter le courant de fuite tout en conservant un bon contrôle électrostatique du canal de conduction. L'introduction de ces matériaux pose naturellement des questions de fiabilité des dispositifs obtenus et ce travail s'inscrit dans ce contexte. Afin de réaliser des mesures de durée de vie sans avoir à finir les dispositifs, une méthode utilisant le C-AFM sous ultravide est proposée. Le protocole expérimental repose sur une comparaison systématique des distributions des temps de claquage obtenues à l'échelle du composant et à l'échelle nanométrique. La comparaison systématique des mesures s'avère fiable si l'on considère une surface de contact entre la pointe et le diélectrique de l'ordre du nm². Des distributions de Weibull présentant une même pente et un même facteur d'accélération en tension sont rapportées montrant une origine commune pour le mécanisme de rupture aux deux échelles.Une résistance différentielle négative, précédant la rupture diélectrique, est rapportée lors de mesures courant-tension pour certaines conditions de rampe. Ce phénomène de dégradation de l'oxyde, visible grâce au C-AFM , est expliqué et modélisé dans ce manuscrit par la croissance d'un filament conducteur dans l'oxyde. Ce même modèle permet aussi de décrire la rupture diélectrique.Finalement, l'empilement de grille bicouche du noeud 28nm est étudié. Une preuve expérimentale montrant que la distribution du temps de claquage du bicouche est bien une fonction des caractéristiques de tenue en tension propres de chaque couche est présentée.
41

Lafitte, Nicolas. "Caractérisation et commande de micropinces en silicium pour l'amélioration de la sensibilité paramétrique d'expériences biologiques sur des molécules d'ADN." Phd thesis, Université de Franche-Comté, 2012. http://tel.archives-ouvertes.fr/tel-00711961.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
L'objectif de cette thèse est de réaliser des expériences biologiques sur des molécules d'ADN à l'aide de micropinces en technologie silicium. Les techniques de mesures à l'échelle d'une molécule unique dépendent essentiellement d'outils très complexes à mettre en œuvre et à utiliser. Afin de se diriger vers des analyses systématiques et temps réel, la conception et la fabrication des micropinces MEMS ont été réalisées au sein du laboratoire. Les molécules d'ADN sont attrapées directement en solution par diélectrophorèse, puis des réactions biologiques sur l'ADN sont caractérisées en temps réel par le suivi de la résonance mécanique du système. La résolution des mesures permet alors de détecter la raideur mécanique de 30 molécules de lambda-ADN (i.e. 20 mN/m). Etant donné qu'il est compliqué de fabriquer un nouveau microsystème avec une raideur très faible (< 1 N/m), une commande par retour d'état a été développée afin d'émuler un système plus élastique et plus sensible¬ ¬aux variations de paramètres. Il a été démontré par simulations que la sensibilité peut être améliorée par un facteur 10 quand la fréquence de résonance du système en boucle fermée est divisée par 10 (i.e. en réduisant la raideur effective du système). Nous avons démontré par expérience une amélioration jusqu'à un facteur 2. Cependant, les problèmes sont alors d'obtenir stabilité et robustesse aux perturbations et aux défauts du modèle. Par conséquent, avant d'atteindre la résolution d'une seule molécule d'ADN, les problématiques concernant la modélisation du système et la présence de nombreuses dynamiques ont été étudiées et corrigées dans de but d'une meilleure implémentation de la commande.
42

Shen, Zhengyan. "Elaboration, caractérisation et nouvelle architecture de matériaux composites Al/plaquettes de carbone pour des applications thermiques." Thesis, Bordeaux, 2020. http://www.theses.fr/2020BORD0268.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Dans l'industrie microélectronique, l'augmentation constante de la densité de puissance due à la miniaturisation des composants électroniques nécessite un matériau de dissipation thermique ayant une conductivité thermique élevée (CT), un faible coefficient de dilatation thermique (CTE) et des propriétés mécaniques (PM) appropriées pour une dissipation efficace de la chaleur. Des métaux purs, tels que Al et Cu, ont déjà été utilisés. Cependant, ils ont des CT limitées (ex. 240 W/m.K pour Al) et leurs CTE sont trop élevés (ex. 23 10-6/K pour Al), ce qui est incompatible avec ceux des composants électroniques (ex. 4 10-6/K pour Si), conduisant à une défaillance en service due à la fatigue thermique. À cet égard, les composites à matrice métallique se sont révélés être un matériau prometteur. Les matériaux en carbone, comme le graphite, le diamant et la fibre de carbone, ayant été introduits comme renforts en raison de leurs excellentes propriétés thermiques (c'est-à-dire un CT très élevé et un faible CTE) dans une matrice Al. Dans ces travaux de thèse, des matériaux composites à matrice en Al renforcé par des plaquettes de graphite peu coûteuses et facilement usinables (ci-après appelé composite Al/Gf) ont été développés dans le but de maximiser le CT, d'adapter le CTE proche de 6 10-6/K, ainsi que d'améliorer les PM.La CT intrinsèque du Gf est hautement anisotrope, c'est-à-dire 1000 W/m.K dans le plan et 5-10 W/m.K hors du plan. Il est donc clair que la bonne orientation de Gf dans la matrice d'Al assure un CT élevée, dans la direction du plan du graphite, ainsi qu’à l’échelle du matériau produit dans cette même direction. Dans cette étude, un procédé de remplissage des poudres étape par étape, a été appliquée avec succès afin d’obtenir cet arrangement 1D conventionnel. Ainsi, les valeurs de CT théoriques prévues les plus élevées peuvent être atteintes expérimentalement. En outre, les matériaux composites 2D et 3D de Gf ont été élaborés à l'aide de pistons spécialement conçus afin d'adapter le CTE anisotrope des Gf (c'est-à-dire -1 10-6/K dans le plan et 28 10-6/K hors plan). La structure 2D permet de réduire la CTE, qui est alors compatible avec celui du matériau du substrat (voisin de 8 10-6/K), tout en maintenant une CT élevée. Enfin, les efforts ont été consacrés à renforcer la matrice Al en intégrant des nanoparticules dispersées (ex-situ) de SiC et (in-situ) de TiB2 pour améliorer les PM globales du composite Al/Gf
In the microelectronic industry, the ever increase in power density due to miniaturization of electronic components requires heat sink materials with a high thermal conductivity (TC), a low coefficient of thermal expansion (CTE), and specific mechanical properties (MP). Pure metals, such as Al and Cu, have been previously used. However, they have limited TCs (e.g. 240 W/m.K for Al) and their CTEs are too high (e.g. 23 × 10-6/K for Al), being incompatible with those of electronic components (e.g. 4 × 10-6/K for Si), leading to failures in service due to thermal fatigue. Regarding this, metal matrix composites have been proven to be promising material where carbon materials, such as graphite, diamond, and carbon fibres, have been introduced as reinforcements because of their excellent thermal properties (i.e. very high TC and low CTE). In this Ph.D. project, Al matrix composites reinforced with low-cost and easily machinable graphite flakes (hereafter called Al/Gf composite) were developed with the aim to maximize TCs, tailor CTEs close to 6×10-6/K, as well as improve MPs.The intrinsic TCs of Gf are highly anisotropic, i.e. in-plane TC of 1000 W/m.K and out-of-plane TC of 5-10 W/m.K, respectively. It is thus clear that the strong orientation of Gf in the Al matrix ensures the high TCs, along the direction of graphite plane, in the as-produced composite. In this study, a new approach to combining flake powder metallurgy with a step-by-step powder filling process was successfully applied to achieve this conventional 1D arrangement. As such, the highest TC values theoretically predicted can be achieved experimentally. Further, the 2D and 3D arrangements of Gf were made using specifically designed punches in order to tailor the anisotropic CTEs of Gf (i.e. in-plane CTE of -1 × 10−6/K and out-of-plane CTE of 28 × 10−6/K), being unavailable in the 1D arrangement. The 2D arrangement allows to achieve the reduced CTEs being compatible with those of the substrate materials while maintaining a high TCs, demonstrating the strong potential for applications. Finally, the efforts were devoted to strengthen the Al matrix by integrating dispersed (ex-situ) SiC and (in-situ) TiB2 nanoparticles to improve the overall MPs of the Al/Gf composites
43

Lorrière, Nominoë. "Cellules photovoltaïques pour la récupération d'énergie et la communication de données." Electronic Thesis or Diss., Aix-Marseille, 2019. http://www.theses.fr/2019AIXM0570.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
L’invention des LED bleues de forte intensité en 1993 a permis une révolution générale de l’éclairage pour le grand public aussi bien que pour les commerces. Ces nouveaux dispositifs, proposent un rendement énergétique bien supérieur aux technologies commerciales précédentes, ce qui explique leur déploiement massif depuis la fin des années 2000. Les matériaux semiconducteurs composant les LED sont déjà utilisés dans le domaine de la microélectronique pour effectuer des fonctions logiques à hautes fréquences.La technologie LiFi tend à cumuler ces deux propriétés en ajoutant une fonction de transmission d’information aux points d’éclairages existants. L’information est transmise en modulant l’intensité de la lumière à haute fréquence, bien au delà des capacités distinctives de l’oeil. Cette technologie devrait subir un déploiement avec l’arrivée de l’Internet des Objets (IoT) qui apporte une grande demande de connections sans fil, incompatible avec les réseaux radiofréquences actuels. Le travail présenté ici porte sur la réception de cette modulation lumineuse. Les récepteurs usuellement utilisés sont des photodiodes mais ces dernières imposent des contraintes d’éclairage et de consommation difficilement corrélables avec les impératifs de l’IoT. Ainsi, ces travaux étudient la possibilité de réception de la modulation LiFi par des cellules et modules photovoltaïques, dont les deux principales qualités restent les grandes dimensions du détecteur permettant une omnidirectionnalité de réception et une résistance à l’ombrage ainsi que le caractère passif de la détection
The invention of high-intensity blue LED hit the market and the retail industry in 1993. It even brought a revolution in lighting history. These new devices significantly improved energy efficiency than ever before and led to their massive deployment since the end of the 2000s. Semiconductor materials for LED devices are used in the microelectronics domain to implement high-frequency logic functions.Light fidelity (LiFi) technologies combine illumination and communication capabilities by implanting information transmission function to existing lighting equipment. Information is transmitted by using intensity modulation of optical sources at high frequencies, far beyond the range of visual perception. LiFi is an enabling technology for the Internet of Things (IoT) systems. IoT requires a large number of wireless connections, so it is not compatible with existing radiofrequency networks.This work is based on the reception of light modulation. Photodiodes are the mostly used receivers, however their constraints on lighting and consumption make it difficult to meet the requirements of the IoT. On the ground of this, this research is aimed at studying the possibility of receiving LiFi modulation by photovoltaic cells and modules due to their two main qualities: passive detection and large dimensions (omnidirectional reception and shade resistance)
44

Mrazkova, Zuzana. "Modélisation et caractérisation de matériaux et nanostructures pour les applications photovoltaïques." Thesis, Université Paris-Saclay (ComUE), 2017. http://www.theses.fr/2017SACLX121/document.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
La recherche sur le photovoltaïque vise à réduire le prix par watt de puissance électrique générée. Des efforts considérables sont menés pour rechercher de nouveaux matériaux et des conceptions qui repoussent les limites des cellules solaires existantes. Le développement récent de matériaux et nanostructures complexes pour les cellules solaires nécessite des efforts plus importants pour mener à bien leur caractérisation et leur modélisation. Cette thèse porte sur la caractérisation optique, la modélisation et l'optimisation de la conception d'architectures de cellules solaires de pointe.Les mesures optiques sont utilisées pour la caractérisation rapide et non destructive des échantillons texturés pour les applications photovoltaïques. Les textures de surface améliorent le piégeage de la lumière et sont donc souhaitées pour améliorer les performances des cellules solaires. D'autre part, ces textures rendent la caractérisation optique plus difficile et des efforts plus importants sont nécessaires non seulement pour la mesure optique elle-même mais également pour la modélisation et l'interprétation ultérieure des données obtenues. Dans ce travail, nous démontrons que nous sommes en mesure d'utiliser des méthodes optiques pour étudier les textures pyramidales très répandues ainsi que les réseaux de nanofils de silicium à orientation aléatoire dont l'analyse est très difficile.Premièrement, nous nous sommes concentrés sur l'étude optique de diverses surfaces pyramidales et de leur impact sur les performances des cellules silicium à hétérojonction. Nous avons constaté que les angles au sommet des pyramides, préparées à l'aide de différentes conditions de texturation, diffèrent de la valeur théorique de 70.52° attendue pour le silicium cristallin. Cette modification de l'angle au sommet est expliquée par la présence, sur les facettes pyramidales, de terrasses monoatomiques régulières, observées par microscopie électronique à transmission de résolution atomique. L'impact d'une variation de l’angle au sommet sur les épaisseurs des couches minces déposées est étudié et les conséquences sur l'efficacité des cellules solaires résultantes sont discutées. Un modèle optique développé pour le calcul de la réflectance et de l'absorption des couches minces en multicouches sur surfaces pyramidales a permis l’optimisation de la conception de la cellule solaire pour un angle au sommet pyramidal donné.L'ellipsométrie matricielle Mueller a été utilisée in-situ pour caractéiser le processus de croissance - par méthode vapeur-liquide-solide activée par plasma - des nanofils de silicium. Nous avons développé un modèle optique facile à utiliser, qui, à notre connaissance, est le premier modèle utilisant des données ellipsométriques expérimentales pour contrôler le procédé de croissance, en phase vapeur-liquide-solide assisté par plasma, des nanofils. La dépendance linéaire observée du dépôt de matériau de silicium avec le temps de dépôt nous permet de suivre le processus de fabrication in situ et de contrôler la qualité du matériau
Research in photovoltaics aims at lowering the price per watt of generated electrical power. Substantial efforts aim at searching for new materials and designs which can push the limits of existing solar cells. The recent development of complex materials and nanostructures for solar cells requires more effort to be put into their characterization and modeling. This thesis focuses on optical characterization, modeling, and design optimization of advanced solar cell architectures.Optical measurements are used for fast and non-destructive characterization of textured samples for photovoltaic applications. Surface textures enhance light-trapping and are thus desired to improve the solar cell performance. On the other hand, these textures make optical characterization more challenging and more effort is required for both, the optical measurement itself and subsequent modeling and interpretation of obtained data. In this work, we demonstrate that we are able to use optical methods to study the widely used pyramidal textures as well as very challenging randomly oriented silicon nanowire arrays.At first, we focused on the optical study of various pyramidal surfaces and their impact on the silicon heterojunction solar cell performance. We have found that vertex angles of pyramids prepared using various texturing conditions vary from the theoretical value of 70.52° expected from crystalline silicon. This change of the vertex angle is explained by regular monoatomic terraces, which are present on pyramid facets and are observed by atomic resolution transmission electron microscopy. The impact of a vertex angle variation on the thicknesses of deposited thin films is studied and the consequences for resulting solar cell efficiency are discussed. A developed optical model for calculation of the reflectance and absorptance of thin film multi-layers on pyramidal surfaces enabled a solar cell design optimization, with respect to a given pyramid vertex angle.In-situ Mueller matrix ellipsometry has been applied for monitoring the silicon nanowire growth process by plasma-enhanced vapor-liquid-solid method. We have developed an easy-to-use optical model, which is to our knowledge a first model fitting the experimental ellipsometric data for process control of plasma-assisted vapor-liquid-solid grown nanowires. The observed linear dependence of the silicon material deposition on the deposition time enables us to trace the fabrication process in-situ and to control material quality
45

Dilhaire, Stephan. "Développement d'un interféromètre laser très haute résolution pour la caractérisation de composants microélectroniques." Bordeaux 1, 1994. http://www.theses.fr/1994BOR10555.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Nous presentons une nouvelle approche de l'etude des composants microelectroniques au travers des ondes thermoelastiques engendrees par le passage du courant. Dans le cadre de cette approche, nous avons developpe un interferometre tres haute resolution (5 femtometres) pour l'etude de ces ondes. Nous etudions leurs proprietes ainsi que leur origine au travers des phenomenes thermoelectriques. Nous demontrons les performances de l'interferometre a l'aide d'un ensemble de mesures faites sur un composant test. Nous presentons des methodologies originales de caracterisation de composants utilisant l'interferometre. Nous montrons comment la microscopie thermoelastique que nous developpons ouvre des perspectives nouvelles dans le domaine de l'imagerie en microelectronique
46

Locati, Jordan. "Etude par modélisation et caractérisation d'architectures innovantes de transistors pour les circuits logiques dans un environnement mémoires non volatiles embarquées." Electronic Thesis or Diss., Aix-Marseille, 2021. http://www.theses.fr/2021AIXM0399.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
L’étude menée dans le cadre de cette thèse consiste à développer de nouvelles architectures de transistors utilisés dans un environnement de mémoire non volatile embarquée (e-NVM). L’objectif était d’améliorer les paramètres électriques critiques d’un composant tel que le courant de fuite (IOFF) et la tension de claquage (BV) sans augmentation de la surface totale ou l’ajout de nouvelles étapes dans le procédé de fabrication dans lequel est fabriqué le composant. Dans un premier temps, un travail a été réalisé pour mettre en lumière les zones de faiblesse du composant. Étant dans un environnement mémoire à double grille, un travail sur la morphologie de la grille de ce composant a permis d’améliorer ses caractéristiques électriques. La seconde étape consiste à travailler sur un nouveau type d'architectures dites non planaire, dont l'intérêt principal repose sur une diminution considérable de la surface jusqu’à 30%. Ceci a pu être possible par la réalisation d’une grille en tranchée, dont l’étape de gravure est déjà présente dans le procédé de fabrication. Différentes variantes de ces composants ont été étudiés montrant des résultants intéressants par rapport à la différence de surface avec le composant planaire. La présence de transistors parasites « hump » a été mis en évidence, assisté par la simulation 3D. Finalement, une étude de fiabilité a été menée sur ces différents composants, le but étant de mettre en évidence des mécanismes de dégradation et permettre ainsi de donner des axes d’amélioration pour le développement de ces futurs composants
The study conducted in this thesis consists in developing new transistor architectures used in an embedded non-volatile memory environment (e-NVM). The objective was to improve the electricals parameters of a component such as the leakage current (IOFF) and the breakdown voltage (BV) without increasing the total area or adding new steps in the manufacturing process in which the component is made. As a first step, a work has been performed to highlight the weak areas of the device. Being in a dual gate memory environment, a work on the morphology of the gate of this component allowed to improve its electricals characteristics. The second step consists in working on a new type of architecture called non-planar, whose main interest leads in a considerable reduction of the surface up to 30%. This has been possible by the realization of a trench gate, whose etching step is already present in the manufacturing process. Different variants of these devices have been studied showing interesting results with respect to the difference in surface area with the planar device. The presence of parasitic transistors "hump" has been highlighted, assisted by 3D simulation. Finally, a reliability study has been conducted on these different components, the goal being to highlight the degradation mechanisms and thus allow to give improvement axes for the development of these future components
47

Ménéghin, Grégory. "Intégration en technologie BiCMOS et caractérisation d'un convertisseur de fréquence de réception pour un radar automobile en bande W assurant des communications inter-véhicules." Phd thesis, Université Paul Sabatier - Toulouse III, 2013. http://tel.archives-ouvertes.fr/tel-01067441.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Les progrès réalisés par les filières silicium durant la dernière décennie ont rendu leur utilisation possible pour les bandes de fréquences millimétriques dépassant les 100 GHz, autrefois réservées aux technologies III-V. En outre, les fortes densités d'intégration qui caractérisent les filières silicium permettent d'envisager des systèmes complexes sur une seule puce, ce qui n'était pas possible auparavant avec les technologies III-V. Dans cette thèse, la faisabilité d'une conversion en fréquence directe à partir d'un signal impulsionnel en bande W est évaluée au travers de l'exemple d'un radar automobile impulsionnel doté d'une capacité de communication inter-véhicules. Actuellement, le mélangeur passif représente le meilleur choix pour entrer dans la constitution d'un récepteur à conversion directe grâce à l'absence de bruit en 1/f de cette topologie. Ce mélangeur emploie des transistors NMOS dans les filières technologiques à base de silicium. Parmi ses avantages, il faut souligner sa grande linéarité doublée d'un faible facteur de bruit, qui est par ailleurs égal aux pertes de conversion du mélangeur. Bien que largement employé dans les applications de type " low-power " aux fréquences RF ne dépassant pas quelques GHz, les limites de fréquence de cette topologie ne sont pas clairement définies. Une première partie de ce travail a consisté à évaluer la faisabilité de cette topologie en bande W en se basant sur une filière technologique 0,13 um SiGe BiCMOS. L'effet de la géométrie du transistor NMOS sur les performances obtenues est largement discuté concernant les pertes de conversion et la linéarité. Ces résultats sont ensuite exploités pour concevoir un convertisseur de fréquence centré sur une fréquence de 79 GHz en incluant les amplificateurs permettant de contrôler le mélangeur de manière optimale sur ses trois accès RF, OL et FI. Pour extraire les principales caractéristiques de ce circuit que sont le gain de conversion, le point de compression et le facteur de bruit, un banc de mesure complet décrit en dernière partie a dû être développé. Les résultats expérimentaux obtenus font état d'un fonctionnement à l'état de l'art, avec un gain de conversion de 14,5 dB à la fréquence optimale centrée sur 76 GHz , un facteur de bruit en bande double de 6,3 dB et un point de compression en sortie de -10 dBm. Ces résultats, relativement proches des simulations, valident l'ensemble de la démarche employée.
48

Ranchon, Hubert. "Développement d'outils analytiques par et pour la microfluidique : caractérisation d'écoulements d'objets dissous et intégration d'un système de séparation sans matrice de biomolécules." Phd thesis, Toulouse 3, 2013. http://thesesups.ups-tlse.fr/2259/.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
L'étude du transport de particules en solution aux nano-échelles est de vaste intérêt et trouve des applications dans des domaines aussi éloignés que la biologie ou la conversion d'énergie. Son étude comporte deux facettes, suivant que l'entité transportée est utilisée comme traceur, auquel cas l'intérêt consiste en la révélation d'un micro-environnement, soit elle constitue l'objet de l'étude, et il importe alors de caractériser sa dynamique dans un environnement contrôlé. Dynamique et transport sont intimement liés. Le premier terme est plus naturellement associé à l'objet individuel. Le second fait quant à lui référence à la masse, à une dynamique globale, une dynamique d'ensemble d'objets. Il n'existe a priori aucune frontière entre les deux, tout juste une différence d'échelle. La physique se joue de cette dualité si bien que le transport de plusieurs est aujourd'hui compris à partir de l'objet unique, et le comportement global est appréhendé via des mécanismes moléculaires. Dans ce travail, nous souhaitons comprendre les propriétés de transport d'objets individuels dilués sous deux formes. La première concerne la dynamique d'objets parfaits pour la caractérisation d'un micro-environnement. La seconde concerne la dynamique d'objets dans un environnement maîtrisé. Nous avons mis en place lors une méthode innovante de caractérisation d'écoulements dans des canalisations sub-micrométriques. Ce travail a impliqué la construction d'un modèle basé sur la densité de probabilité de vitesse de nano-sphères dans des écoulements laminaires. Cette approche a ensuite été validée par dynamique brownienne et mise à l'épreuve pour l'étude d'écoulements dans des nano-fentes fabriquées au laboratoire. Cette comparaison nous a permis de mettre en avant une force de lift géante tendant à faire migrer les particules perpendiculairement aux lignes de champ, un phénomène jusqu'ici non décrit dans la littérature. La poursuite des expérimentations nous a permis de décrire les paramètres influents sur l'amplitude de cette force. Notre attention s'est alors tournée vers l'étude de la dynamique de molécules d'ADN en solution dans des structures confinées, sous un actionnement hybride, à la fois hydrodynamique et électrocinétique. L'utilisation d'un fluide non-newtonien nous a alors permis de mettre en évidence le caractère non linéaire de la superposition des modes d'actionnement. Des stratégies expérimentales ont été spécifiquement développées afin de cartographier des densités de présence inhomogène des molécules dans les canalisations. Cet effet a alors été récupéré pour permettre la séparation de biomolécules par taille sous actionnement hybride. Au demeurant, ce travail expérimental, à la frontière de la physique des fluides, de l'ingénierie de la nano-fabrication, de la physique statistique, nous a permis de construire une méthode innovante de vélocimétrie de particules, la mise en évidence de phénomène de migration transverse d'objets solides et flexibles dans des écoulements aux nano-échelles, et la construction d'un démonstrateur pour la séparation de biomolécules par taille
Transport in solution at the nanoscale is of crucial interest for biology or energy conversion. The dynamics of single objects flowing in a liquid, and fluid transport properties are intimately related. Dynamics is mostly associated to the behavior of single object, whereas transport refers to the massive or global dynamics of a set of individuals. The gap in between these two views is very thin, as a global transport can be understood under a scale transformation of the behavior of one single component. This statement constitutes the basis of modern condensed matter physics. In this work we considered the behavior of individual diluted objects transported in solution from two vantages. First the dynamics of single "perfect" objects were investigated toward the characterization of micro-environments. Then, in a second time, we investigated the dynamics of single objects under-controlled environment aiming at elucidating the physical laws describing their behavior. We developed a new method for characterizing sub-micron confined flows. We derived a theoretical model based on nanospheres velocity probability density. This model was validated using in-house Brownian dynamics simulations of particles flowing in laminar Poiseuille flows. These numerical and analytical approaches were confronted to experiments of single nanospheres conveyed in pressure-driven flows in nanofluidic devices. We detected giant lift force, leading to cross-streamline migration away from the wall even at vanishing Reynolds number. These forces are not described in the literature, leading us to characterize their physical properties. We then switched to the study of dynamical properties of DNA molecules in solution in confined environment under an hybrid actuation involving hydrodynamics and electrokinetics. The use of a non-newtonian buffer solution led to observe a non-linear combination of the actuations. Experimental strategies were then developed to map the inhomogeneous transverse probability of density of molecules inside the channels. This specific phenomenon allowed for the design of a new way of resolving biomolecules by size in free solution. Overall, this experimental work at the nexus of fluid physics, micro-fabrication engineering and statistical physics, allowed us for the design of a new nano-velocimetry, and other experimental methods which help us decipher transverse migration of diluted solid and flexible objects in solution. Furthermore, hybrid mode actuation of DNA in non-Newtonian fluids led us to design of new way of separating biomolecules by size. We think that this work is a leap forward for an easy characterization of nanoflows and particle transports at the nanoscale
49

Abou, Hamad Valdemar. "Elaboration et caractérisation de contacts électriques à base de phases MAX sur SiC pour l'électronique haute température." Thesis, Lyon, 2020. http://www.theses.fr/2020LYSEI079.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Les applications de puissance dans lesquelles la température ambiante est élevée, provoquent l’augmentation de la température dans les dispositifs électroniques. De ce fait, il est important de développer les dispositifs électroniques pour pouvoir supporter des densités de courant et de puissance plus élevées. Dans cette thèse, nous avons pour objectif de jeter les bases d’une technologie en totale rupture avec celles existantes pour la fabrication d’une nouvelle génération de contacts électriques à base de Ti3SiC2, stables, fiables et reproductibles sur le Carbure de Silicium pour les applications à très hautes températures (300 – 600ºC). Deux méthodes d’élaborations seront étudiées, dans cette thèse, pour synthétiser le Ti3SiC2. La première est par voie réactionnelle, et la deuxième approche consistera à utiliser la technique Pulsed Laser Deposition (PLD), en utilisant une cible de Ti3SiC2. Le but est de développer des contacts ohmiques de bonne qualité. Des caractérisations physico-chimiques, électriques (TLM) et mécaniques (W-H et RSM) ont été effectuées sur les contacts de Ti3SiC2. Ces échantillons ont subi un vieillissement, à 600ºc pendant 1500h sous Argon, dans le but d’étudier la stabilité et la fiabilité des contacts électriques aux hautes températures. Les résultats des caractérisations ont montré que la fiabilité et la stabilité chimique entre Ti3SiC2 et SiC ont permis aux contacts de garder le comportement ohmique avec une faible résistivité électrique et un bon comportement mécanique, même après 1500h de vieillissement. De plus, les simulations réalisées ont servi à déterminer l’effet des ITR sur la dissipation de la chaleur et sur les contraintes mécaniques exercées sur une diode PN haute puissance. Dans cette thèse, nous avons montré qu’un contact ohmique, à base de Ti3SiC2, peut rester stable et fiable sur un substrat 4H-SiC, dans des températures allant jusqu’à 600ºC
Power applications in which the ambient temperature is high, cause the increase of temperature in electronic components. Therefore, it is important to develop electronic devices that are able to withstand high current and high-power densities. In this thesis, our objective is to lay the foundations of a new technology for the manufacture of a new generation of Ti3SiC2 MAX phase-based electrical contacts, stable, reliable and reproducible on Silicon Carbide for very high temperature applications (300 - 600ºC). To synthesize Ti3SiC2 on SiC, two elaboration methods were studied in this thesis. The first approach is a reaction method, and the second approach consists on using a Ti3SiC2 target via the Pulsed Laser Deposition (PLD) technique. Our goal is to develop a good quality ohmic contacts. Physico-chemical, electrical (TLM) and mechanical (W-H and RSM) characterizations were performed on the Ti3SiC2 contacts. These samples underwent a thermal aging test at 600°C for 1500 hours under Argon, in order to study the stability and reliability of the electrical contacts at high temperatures. The obtained results showed that the reliability and the chemical stability between Ti3SiC2 and SiC allowed the contacts to keep an ohmic behavior with low electrical resistivity, in addition to a good mechanical behavior, even after 1500 hours of aging at 600ºC. Furthermore, the thermomechanical simulations performed were used to determine the effects of Interfacial Thermal Resistances on the heat dissipation and the mechanical stresses exerted on a high power PN diode. In this thesis, we have shown that an ohmic contact, based on Ti3SiC2, can remain stable and reliable on a 4H-SiC substrate, in temperatures up to 600ºC
50

Grandfond, Antonin. "Etude de la fiabilité des mesures électriques par la microscopie à force atomique sur couches diélectriques ultra-minces : Développement d'une technique de pompage de charge résolue spatialement pour la caractérisation des défauts d'interface." Thesis, Lyon, INSA, 2014. http://www.theses.fr/2014ISAL0133/document.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Анотація:
Les progrès rapides de la microélectronique sont liées à la miniaturisation du transistor MOS. Pour limiter les courants de fuite, SiO2 a déjà été remplacé par HfO2.mais de nouveaux diélectriques de grande constante diélectrique (high-k) devront être intégrés pour poursuivre cette progression. Le microscope à force atomique (AFM) en mode Conductive-AFM (C-AFM) est aujourd'hui un outil incontournable pour la caractérisation électrique des diélectriques en couche mince à l'échelle nanométrique. Dans nos travaux, nous avons cherché à étudier les limites du C-AM. Le C-AFM consiste à utiliser une pointe AFM comme électrode supérieure afin de faire des mesures de type I(V) ou des cartographies de courant. Nous avons cherché à identifier le phénomène qui conduit à la dégradation de la couche diélectrique par l'application d'une tension de pointe positive, matérialisée par la déformation de la surface. Nous avons montré qu'il s'agissait d'un effet thermique due à la forte densité de courant, ne s'apparentant pas à la DBIE observée sur dispositif, et pouvant aller jusqu'à la détérioration du substrat à l'interface. Ce phénomène, sans en être la conséquence, est largement favorisé par la présence d'eau. Ceci confirme qu'il est préférable de réaliser les caractérisations électriques sous ultra-vide malgré les contraintes expérimentales. Les études du diélectriques sont ainsi compromises puisque le mode de dégradation est en partie propre à la technique AFM et ne permet pas aisément d'extrapoler le comportement du matériau intégré dans un dispositif. De plus, l'étude statistique la dégradation de la couche (Weibull), couramment utilisée, est affectée par un biais d'interdépendance. De la même façon, la modélisation de la conduction à travers la couche doit être utilisée avec précaution, car la surface du contact électrique pointe-diélectrique demeure un paramètre incertain. La technique de pompage de charges permet de caractériser les pièges à l'interface oxyde/semi- conducteur en les sollicitant par l'application d'une tension de grille périodique. Elle permet d'extraire la densité d'état Dit(E) les sections efficaces de capture (σ(E)), mais ne donne pas d'information sur leur répartition spatiale. Nous avons donc adapté cette technique à la microscopie champ proche, la pointe AFM conductrice faisant office de grille. Sur des transistors dépourvus de grille spécialement préparés pour l’occasion, nous avons pu montrer la faisabilité de la technique, en accord satisfaisant avec les mesures macroscopiques. Nous mesurons un signal que nous associons à un courant pompé. Cependant, le signal est déformé comparativement aux mesures macroscopiques. Un modèle physique reste à développer puisque dans notre cas, les charges minoritaires doivent traverser depuis la source et le drain un espace non polarisé par la grille. Par la suite, un dispositif de cartographie des défauts d'interface, éventuellement résolue en énergie, pourra être développé
The rapid progress of the microelectronic is obtained by the strong reduction of the dimensions of the MOS transistor. In order to reduce the leakage currents SiO2 is nox replaced by HfO2, but new dielectrics with a high permittivity (high-k) will have to be integrated in the future so that the progession continues. The atomic force microscope (AFM) in Conductive-AFM (C-AFM) mode is an ideal tools for the electrical characterization of thin oxide films at the nanometric scale. In our work, we have tried to study the limits of the C-AFM. C-AFM consists in using an AFM tip as a top electrode in order to perform Intensity-Current (I-V) curves or mapping the current. We have tried and identify the phenomenon which lead to the degradation of the dielectric layer during the application of the positive voltage bias on the tip, which results in a deformation of the surface under study. We have shown that it is a thermal effect due to a large density of current, which is different from dielectric induced breakdown epitaxy (DBIE) observed on the devices, and which may even lead to the degradation of the susbstrate at the interface. This phenomon is favored by the presence of water on the surface although it is not its consequence. This confirms that such electrical measurements should be performed in ultra-high vacuum in spite of the consequences in terms of complexity of the measurement setup. As a consequence, the study of the dielectric material are questionned since the degradation process is partly due to the AFM technique itself and does not allow to extrapolate easily the behaviour of the integrated device. Moreover, the statistical study of the degradation of the layer (Weibull), commonly used, is affected by a bias (measurements are interdependent). In the same way, the modeling of the conduction through the layer must be questionned because the surface of the electrical contact between the tip and the dielectric layer remains a very variable parameter. The charge pumping technique, which consists in caracterizing the traps at the semiconductor / dielectric interface by filling/emptying them with the application of an alternating gate voltage. It allows to extract the states density (Dit(E) and the capture cross section (σ(E)) but does not provide any information about their repartition on the interface. So, we have adapted this technique to the scanning probe microscopy with the conducting AFM probe as a gate. Using gate-less transistors fabricated in the frame of this work, we have demonstrated the feasability of this technique with a satisfying agreement with macroscopic measurements. We are able to measure a signal that can be related to charge pumping. However, the signal is distorted compared to macroscopic measurements. Modeling is needed because in our case, minority carriers must travel from source to drain via a non polarised area. As a perspective, an energetically resolved method to map the interfacial defects might be developed

До бібліографії