Статті в журналах з теми "Atomic Layer Etching"

Щоб переглянути інші типи публікацій з цієї теми, перейдіть за посиланням: Atomic Layer Etching.

Оформте джерело за APA, MLA, Chicago, Harvard та іншими стилями

Оберіть тип джерела:

Ознайомтеся з топ-50 статей у журналах для дослідження на тему "Atomic Layer Etching".

Біля кожної праці в переліку літератури доступна кнопка «Додати до бібліографії». Скористайтеся нею – і ми автоматично оформимо бібліографічне посилання на обрану працю в потрібному вам стилі цитування: APA, MLA, «Гарвард», «Чикаго», «Ванкувер» тощо.

Також ви можете завантажити повний текст наукової публікації у форматі «.pdf» та прочитати онлайн анотацію до роботи, якщо відповідні параметри наявні в метаданих.

Переглядайте статті в журналах для різних дисциплін та оформлюйте правильно вашу бібліографію.

1

AOYAGI, Yoshinobu, and Takashi MEGURO. "Atomic Layer Etching." Nihon Kessho Gakkaishi 33, no. 3 (1991): 169–74. http://dx.doi.org/10.5940/jcrsj.33.169.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
2

Eliceiri, Matthew, Yoonsoo Rho, Runxuan Li, and Costas P. Grigoropoulos. "Pulsed laser induced atomic layer etching of silicon." Journal of Vacuum Science & Technology A 41, no. 2 (March 2023): 022602. http://dx.doi.org/10.1116/6.0002399.

Повний текст джерела
Анотація:
We demonstrate the laser mediated atomic layer etching (ALEt) of silicon. Using a nanosecond pulsed 266 nm laser focused loosely over and in a parallel configuration to the surface of the silicon, we dissociate Cl2 gas to induce chlorination. Then, we use pulsed picosecond irradiation to remove the chlorinated layer. Subsequently, we perform continuous wave (CW) laser annealing to eliminate amorphization caused by the picosecond laser etching. Based on atomic force microscopy (AFM) and X-ray photoelectron spectroscopy (XPS), we observed strong evidence of chlorination and digital etching at 0.85 nm etching per cycle with good uniformity.
Стилі APA, Harvard, Vancouver, ISO та ін.
3

Hatch, Kevin A., Daniel C. Messina, and Robert J. Nemanich. "Plasma enhanced atomic layer deposition and atomic layer etching of gallium oxide using trimethylgallium." Journal of Vacuum Science & Technology A 40, no. 4 (July 2022): 042603. http://dx.doi.org/10.1116/6.0001871.

Повний текст джерела
Анотація:
Atomic layer etching driven by self-limiting thermal reactions has recently been developed as a highly conformal and isotropic technique for low damage atomic scale material removal by sequential exposures of vapor phase reactants. Gallium oxide (Ga2O3) is currently among the materials of interest due to a large variety of applications including power electronics, solar cells, gas sensors, and photon detectors. In this study, Ga2O3 was deposited by plasma enhanced atomic layer deposition using trimethylgallium [TMG, Ga(CH3)3] and O2 plasma at a substrate temperature of 200 °C. We report a newly developed method for Ga2O3 thermal atomic layer etching, in which surface modification is achieved through HF exposure resulting in a gallium fluoride surface layer, and then removed through volatile product formation via ligand exchange with TMG. Saturation of the precursor exposure at a substrate temperature of 300 °C resulted in an etch rate of 1.0 ± 0.1 Å/cycle for amorphous Ga2O3. Uniformity and conformality of the atomic layer etching process were confirmed via atomic force microscopy with a measured surface roughness of 0.55 ± 0.05 nm that remains unchanged after etching. The use of TMG for etching may expand available precursors for atomic layer etching processes, while allowing for both etching and deposition of Ga2O3 using the same metalorganic precursor.
Стилі APA, Harvard, Vancouver, ISO та ін.
4

Oh, Chang-Kwon, Sang-Duk Park, and Geun-Young Yeom. "Atomic Layer Etching of Silicon Using a Ar Neutral Beam of Low Energy." Korean Journal of Materials Research 16, no. 4 (April 27, 2006): 213–17. http://dx.doi.org/10.3740/mrsk.2006.16.4.213.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
5

George, Steven M. "(Tutorial) Thermal Atomic Layer Etching." ECS Meeting Abstracts MA2021-02, no. 29 (October 19, 2021): 847. http://dx.doi.org/10.1149/ma2021-0229847mtgabs.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
6

Ikeda, Keiji, Shigeru Imai, and Masakiyo Matsumura. "Atomic layer etching of germanium." Applied Surface Science 112 (March 1997): 87–91. http://dx.doi.org/10.1016/s0169-4332(96)00995-6.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
7

Nieminen, Heta-Elisa, Mykhailo Chundak, Mikko J. Heikkilä, Paloma Ruiz Kärkkäinen, Marko Vehkamäki, Matti Putkonen, and Mikko Ritala. "In vacuo cluster tool for studying reaction mechanisms in atomic layer deposition and atomic layer etching processes." Journal of Vacuum Science & Technology A 41, no. 2 (March 2023): 022401. http://dx.doi.org/10.1116/6.0002312.

Повний текст джерела
Анотація:
In this paper, we introduce a vacuum cluster tool designed specifically for studying reaction mechanisms in atomic layer deposition (ALD) and atomic layer etching (ALE) processes. In the tool, a commercial flow-type ALD reactor is in vacuo connected to a set of UHV chambers so that versatile surface characterization is possible without breaking the vacuum environment. This way the surface composition and reaction intermediates formed during the precursor or etchant pulses can be studied in very close to true ALD and ALE processing conditions. Measurements done at each step of the deposition or etching cycle add important insights about the overall reaction mechanisms. Herein, we describe the tool and its working principles in detail and verify the equipment by presenting results on the well-known trimethyl aluminum–water process for depositing Al2O3.
Стилі APA, Harvard, Vancouver, ISO та ін.
8

Yao, Yong Zhao, Yukari Ishikawa, Yoshihiro Sugawara, and Koji Sato. "Removal of Mechanical-Polishing-Induced Surface Damages on 4H-SiC Wafers by Using Chemical Etching with Molten KCl+KOH." Materials Science Forum 778-780 (February 2014): 746–49. http://dx.doi.org/10.4028/www.scientific.net/msf.778-780.746.

Повний текст джерела
Анотація:
High temperature (>1000 °C) chemical etching using molten KCl or molten KCl+KOH as the etchant has been carried out to remove the mechanical-polishing (MP) induced damage layer from 4H-SiC surface. Atomic force microscopy observations have shown that line-shaped surface scratches that have appeared on the as-MPed surface could be completely removed by KCl-only etching or by KCl+KOH etching (KCl:KOH=99:1 in weight) at ~1100 °C. Between the two recipes, KCl+KOH etching has shown a higher etch rate (6~7 times) and is able to remove ~9 μm and ~36 μm-thick damage layer from the Si (0001) and the C(000-1) surface, respectively. Besides, KCl+KOH etching seems to have formed a Si (0001) surface covered with atomic steps while KCl-only etched surface is featured with nanometer-scale pores.
Стилі APA, Harvard, Vancouver, ISO та ін.
9

Reif, Johanna, Martin Knaut, Sebastian Killge, Matthias Albert, Thomas Mikolajick, and Johann W. Bartha. "In situ studies on atomic layer etching of aluminum oxide using sequential reactions with trimethylaluminum and hydrogen fluoride." Journal of Vacuum Science & Technology A 40, no. 3 (May 2022): 032602. http://dx.doi.org/10.1116/6.0001630.

Повний текст джерела
Анотація:
Controlled thin film etching is essential for future semiconductor devices, especially with complex high aspect ratio structures. Therefore, self-limiting atomic layer etching processes are of great interest to the semiconductor industry. In this work, a process for atomic layer etching of aluminum oxide (Al2O3) films using sequential and self-limiting thermal reactions with trimethylaluminum and hydrogen fluoride as reactants was demonstrated. The Al2O3 films were grown by atomic layer deposition using trimethylaluminum and water. The cycle-by-cycle etching was monitored throughout the entire atomic layer etching process time using in situ and in real-time spectroscopic ellipsometry. The studies revealed that the sequential surface reactions were self-limiting versus reactant exposure. Spectroscopic ellipsometry analysis also confirmed the linear removal of Al2O3. Various process pressures ranging from 50 to 200 Pa were employed for Al2O3 etching. The Al2O3 etch rates increased with process pressures: Al2O3 etch rates of 0.92, 1.14, 1.22, and 1.31 Å/cycle were obtained at 300 °C for process pressures of 50, 100, 150, and 200 Pa, respectively. The Al2O3 etch rates increased with the temperature from 0.55 Å/cycle at 250 °C to 1.38 Å/cycle at 350 °C. Furthermore, this paper examined the temperature dependence of the rivalry between the removal (Al2O3 etching) and growth (AlF3 deposition) processes using the reactants trimethylaluminum and hydrogen fluoride. The authors determined that 225 °C is the transition temperature between AlF3 atomic layer deposition and Al2O3 atomic layer etching. The high sensitivity of in vacuo x-ray photoelectron spectroscopy allowed the investigation of the interface reactions for a single etching pulse as well as the initial etch mechanism. The x-ray photoelectron spectroscopy measurements indicated that the fluorinated layer is not completely removed after each trimethylaluminum exposure. The Al2O3 atomic layer etching process mechanism may also be applicable to etch other materials such as HfO2.
Стилі APA, Harvard, Vancouver, ISO та ін.
10

Hirano, Tomoki, Kenya Nishio, Takashi Fukatani, Suguru Saito, Yoshiya Hagimoto, and Hayato Iwamoto. "Characterization of Wet Chemical Atomic Layer Etching of InGaAs." Solid State Phenomena 314 (February 2021): 95–98. http://dx.doi.org/10.4028/www.scientific.net/ssp.314.95.

Повний текст джерела
Анотація:
In this work, we characterized the wet chemical atomic layer etching of an InGaAs surface by using various surface analysis methods. For this etching process, H2O2 was used to create a self-limiting oxide layer. Oxide removal was studied for both HCl and NH4OH solutions. Less In oxide tended to remain after the HCl treatment than after the NH4OH treatment, so the combination of H2O2 and HCl is suitable for wet chemical atomic layer etching. In addition, we found that repetition of this etching process does not impact on the oxide amount, surface roughness, and interface state density. Thus, nanoscale etching of InGaAs with no impact on the surface condition is possible with this method.
Стилі APA, Harvard, Vancouver, ISO та ін.
11

Fischer, Andreas, Aaron Routzahn, Steven M. George, and Thorsten Lill. "Thermal atomic layer etching: A review." Journal of Vacuum Science & Technology A 39, no. 3 (May 2021): 030801. http://dx.doi.org/10.1116/6.0000894.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
12

T. Carver, Colin, John J. Plombon, Patricio E. Romero, Satyarth Suri, Tristan A. Tronic, and Robert B. Turkot. "Atomic Layer Etching: An Industry Perspective." ECS Journal of Solid State Science and Technology 4, no. 6 (2015): N5005—N5009. http://dx.doi.org/10.1149/2.0021506jss.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
13

TAKAKUWA, Yuji. "Surface Reactions in Atomic Layer Etching." Hyomen Kagaku 16, no. 6 (1995): 373–77. http://dx.doi.org/10.1380/jsssj.16.373.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
14

Kanarik, Keren J., Samantha Tan, Wenbing Yang, Taeseung Kim, Thorsten Lill, Alexander Kabansky, Eric A. Hudson, et al. "Predicting synergy in atomic layer etching." Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 35, no. 5 (September 2017): 05C302. http://dx.doi.org/10.1116/1.4979019.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
15

Gong, Yukun, and Rohan Akolkar. "Electrochemical Atomic Layer Etching of Ruthenium." Journal of The Electrochemical Society 167, no. 6 (April 14, 2020): 062510. http://dx.doi.org/10.1149/1945-7111/ab864b.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
16

Chalker, P. R. "Photochemical atomic layer deposition and etching." Surface and Coatings Technology 291 (April 2016): 258–63. http://dx.doi.org/10.1016/j.surfcoat.2016.02.046.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
17

George, Steven M. "Mechanisms of Thermal Atomic Layer Etching." Accounts of Chemical Research 53, no. 6 (June 1, 2020): 1151–60. http://dx.doi.org/10.1021/acs.accounts.0c00084.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
18

Kuzmenko, V., A. Miakonkikh, and K. Rudenko. "Atomic layer etching of Silicon Oxide." Journal of Physics: Conference Series 1410 (December 2019): 012023. http://dx.doi.org/10.1088/1742-6596/1410/1/012023.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
19

Faraz, T., F. Roozeboom, H. C. M. Knoops, and W. M. M. Kessels. "Atomic Layer Etching: What Can We Learn from Atomic Layer Deposition?" ECS Journal of Solid State Science and Technology 4, no. 6 (2015): N5023—N5032. http://dx.doi.org/10.1149/2.0051506jss.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
20

Crawford, Kevin G., James Grant, Dilini Tania Hemakumara, Xu Li, Iain Thayne, and David A. J. Moran. "High synergy atomic layer etching of AlGaN/GaN with HBr and Ar." Journal of Vacuum Science & Technology A 40, no. 4 (July 2022): 042601. http://dx.doi.org/10.1116/6.0001862.

Повний текст джерела
Анотація:
Here, we show a process of AlGaN/GaN atomic layer etching with a high synergy of >91%. Achieved by means of a cyclical HBr and Ar process, highly controllable layer removal was observed within the atomic layer etching window and is attributed to careful parameter calibration plus lower reactivity of the HBr chemistry. Such etching is a valuable component in the production of high-performance enhancement-mode GaN field effect transistor devices.
Стилі APA, Harvard, Vancouver, ISO та ін.
21

de Marneffe, J. F., D. Marinov, A. Goodyear, P. J. Wyndaele, N. St. J. Braithwaite, S. Kundu, I. Asselberghs, M. Cooke, and S. De Gendt. "Plasma enhanced atomic layer etching of high-k layers on WS2." Journal of Vacuum Science & Technology A 40, no. 4 (July 2022): 042602. http://dx.doi.org/10.1116/6.0001726.

Повний текст джерела
Анотація:
The etching of HfO[Formula: see text] and ZrO[Formula: see text] high-k dielectrics is studied using plasma enhanced atomic layer etching. The etching method relies on a continuous argon inductively coupled plasma discharge in which reactive gases are pulsed, followed by substrate biasing; both steps are separated by purge periods. It is found that pure BCl[Formula: see text] is too chemically active while a Cl[Formula: see text]–BCl[Formula: see text] allows a high process synergy; in addition, the latter gives a high selectivity to SiO[Formula: see text]. The optimal etch conditions are applied to high-k layers deposited on top of WS[Formula: see text] transition metal dichalcogenide. Postetch analysis shows negligible tungsten and sulfur depletion as well as negligible change in optical (Raman) response of the 2D layer, indicating that atomic layer etching concepts allows us to prevent WS[Formula: see text] material loss or damage.
Стилі APA, Harvard, Vancouver, ISO та ін.
22

Aroulanda, Sébastien, Olivier Patard, Philippe Altuntas, Nicolas Michel, Jorge Pereira, Cédric Lacam, Piero Gamarra, et al. "Cl2/Ar based atomic layer etching of AlGaN layers." Journal of Vacuum Science & Technology A 37, no. 4 (July 2019): 041001. http://dx.doi.org/10.1116/1.5090106.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
23

Kim, Y. Y., W. S. Lim, J. B. Park, and G. Y. Yeom. "Layer by Layer Etching of the Highly Oriented Pyrolythic Graphite by Using Atomic Layer Etching." Journal of The Electrochemical Society 158, no. 12 (2011): D710. http://dx.doi.org/10.1149/2.061112jes.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
24

Yao, Yikun, Xinjia Zhao, Xiangqian Tang, Jianmei Li, Xinyan Shan, and Xinghua Lu. "Laser etching of 2D materials with single-layer precision up to ten layers." Journal of Laser Applications 34, no. 4 (November 2022): 042051. http://dx.doi.org/10.2351/7.0000848.

Повний текст джерела
Анотація:
Patterned 2D materials with layer-controlled thickness and precise lateral resolution are of great potential for many applications. Laser etching is a promising technique for large-scale patterning of 2D materials, but better control in film thickness is strongly desired. Here, we explore the dynamic characteristics in the laser etching process in which a local temperature lock phenomenon is observed as laser power reaches the etching threshold. A layer-by-layer etching strategy is then developed based on the temporal evolution of the local temperature as measured by in-situ Raman spectroscopy. Employing such a method in a typical layered material MoS2, we demonstrate thickness control up to ten layers in layer-by-layer laser etching. The local temperature lock during laser etching is explained by thermodynamic simulation of temperature distribution within the 2D material under laser illumination. The influence of substrate thermal conductivity on the thickness of the controlled laser etching has been revealed. The results are valuable for potential applications of 2D material devices built with complex layered structures.
Стилі APA, Harvard, Vancouver, ISO та ін.
25

Hirata, Akiko, Masanaga Fukasawa, Katsuhisa Kugimiya, Kojiro Nagaoka, Kazuhiro Karahashi, Satoshi Hamaguchi, and Hayato Iwamoto. "Mechanism of SiN etching rate fluctuation in atomic layer etching." Journal of Vacuum Science & Technology A 38, no. 6 (December 2020): 062601. http://dx.doi.org/10.1116/6.0000257.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
26

Guan, Lulu, Xingyu Li, Dongchen Che, Kaidong Xu, and Shiwei Zhuang. "Plasma atomic layer etching of GaN/AlGaN materials and application: An overview." Journal of Semiconductors 43, no. 11 (November 1, 2022): 113101. http://dx.doi.org/10.1088/1674-4926/43/11/113101.

Повний текст джерела
Анотація:
Abstract With the development of the third generation of semiconductor devices, it is essential to achieve precise etching of gallium nitride (GaN) materials that is close to the atomic level. Compared with the traditional wet etching and continuous plasma etching, plasma atomic layer etching (ALE) of GaN has the advantages of self-limiting etching, high selectivity to other materials, and smooth etched surface. In this paper the basic properties and applications of GaN are presented. It also presents the various etching methods of GaN. GaN plasma ALE systems are reviewed, and their similarities and differences are compared. In addition, the industrial application of GaN plasma ALE is outlined.
Стилі APA, Harvard, Vancouver, ISO та ін.
27

Hoffmann, M., J. A. Murdzek, S. M. George, S. Slesazeck, U. Schroeder, and T. Mikolajick. "Atomic layer etching of ferroelectric hafnium zirconium oxide thin films enables giant tunneling electroresistance." Applied Physics Letters 120, no. 12 (March 21, 2022): 122901. http://dx.doi.org/10.1063/5.0084636.

Повний текст джерела
Анотація:
The ferroelectric properties of hafnium oxide and zirconium oxide based thin films are promising for applications in low power electronics, such as ultra-thin ferroelectric tunneling devices. However, the amount of ferroelectric phase in the film depends on their polycrystalline morphology, which changes with film thickness. Therefore, controlling the film thickness without changing the ferroelectric properties has remained challenging. Here, we propose the use of thermal atomic layer etching to decouple the ferroelectric phase stabilization from the film thickness. First, the ferroelectric phase fraction is maximized by crystallizing the film at an optimized film thickness. Subsequently, the ferroelectric film thickness is reduced to the desired range by atomic layer etching. We demonstrate the feasibility of this approach for a ferroelectric hafnium zirconium oxide film of 10 nm initial thickness, which we integrate into a double-layer ferroelectric tunnel junction. The atomic layer etch rate of ferroelectric hafnium zirconium oxide using HF and dimethylaluminum chloride is found to be ∼0.2 Å/cycle. Although the ferroelectric phase persists after atomic layer etching, the etching increases the surface roughness. For applications in ferroelectric tunnel junctions, we show that atomic layer etching of ferroelectric hafnium zirconium oxide can improve the read current by more than a factor of 200, while at the same time reducing the read voltage by 43%. The resulting tunneling electroresistance of about 2500 is the highest reported so far for polycrystalline hafnium zirconium oxide-based materials.
Стилі APA, Harvard, Vancouver, ISO та ін.
28

Lee, Y., J. W. DuMont, and S. M. George. "(Invited) Atomic Layer Etching Using Thermal Reactions: Atomic Layer Deposition in Reverse." ECS Transactions 69, no. 7 (October 2, 2015): 233–41. http://dx.doi.org/10.1149/06907.0233ecst.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
29

Jung, Junho, and Kyongnam Kim. "Atomic Layer Etching Using a Novel Radical Generation Module." Materials 16, no. 10 (May 9, 2023): 3611. http://dx.doi.org/10.3390/ma16103611.

Повний текст джерела
Анотація:
To fabricate miniature semiconductors of 10 nm or less, various process technologies have reached their physical limits, and new process technologies for miniaturization are required. In the etching process, problems such as surface damage and profile distortion have been reported during etching using conventional plasma. Therefore, several studies have reported novel etching techniques such as atomic layer etching (ALE). In this study, a new type of adsorption module, called the radical generation module, was developed and applied in the ALE process. Using this module, the adsorption time could be reduced to 5 s. Moreover, the reproducibility of the process was verified and an etch per cycle of 0.11 nm/cycle was maintained as the process progressed up to 40 cycles.
Стилі APA, Harvard, Vancouver, ISO та ін.
30

Kim, Seon Yong, In-Sung Park, and Jinho Ahn. "Atomic layer etching of SiO2 using trifluoroiodomethane." Applied Surface Science 589 (July 2022): 153045. http://dx.doi.org/10.1016/j.apsusc.2022.153045.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
31

Kim, Doo San, Ju Eun Kim, You Jung Gill, Yun Jong Jang, Ye Eun Kim, Kyong Nam Kim, Geun Young Yeom, and Dong Woo Kim. "Anisotropic/Isotropic Atomic Layer Etching of Metals." Applied Science and Convergence Technology 29, no. 3 (May 31, 2020): 41–49. http://dx.doi.org/10.5757/asct.2020.29.3.041.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
32

Sakaue, Hiroyuki, Seiji Iseda, Kazushi Asami, Jirou Yamamoto, Masataka Hirose, and Yasuhiro Horiike. "Atomic Layer Controlled Digital Etching of Silicon." Japanese Journal of Applied Physics 29, Part 1, No. 11 (November 20, 1990): 2648–52. http://dx.doi.org/10.1143/jjap.29.2648.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
33

Sherpa, Sonam D., and Alok Ranjan. "Quasi-atomic layer etching of silicon nitride." Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 35, no. 1 (January 2017): 01A102. http://dx.doi.org/10.1116/1.4967236.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
34

Kauppinen, Christoffer, Sabbir Ahmed Khan, Jonas Sundqvist, Dmitry B. Suyatin, Sami Suihkonen, Esko I. Kauppinen, and Markku Sopanen. "Atomic layer etching of gallium nitride (0001)." Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 35, no. 6 (November 2017): 060603. http://dx.doi.org/10.1116/1.4993996.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
35

Gong, Yukun, Kailash Venkatraman, and Rohan Akolkar. "Communication—Electrochemical Atomic Layer Etching of Copper." Journal of The Electrochemical Society 165, no. 7 (2018): D282—D284. http://dx.doi.org/10.1149/2.0901807jes.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
36

Athavale, Satish D. "Realization of atomic layer etching of silicon." Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures 14, no. 6 (November 1996): 3702. http://dx.doi.org/10.1116/1.588651.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
37

Kanarik, Keren J., Samantha Tan, Wenbing Yang, Ivan L. Berry, Yang Pan, and Richard A. Gottscho. "Universal scaling relationship for atomic layer etching." Journal of Vacuum Science & Technology A 39, no. 1 (January 2021): 010401. http://dx.doi.org/10.1116/6.0000762.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
38

Fischer, Andreas, Aaron Routzahn, Younghee Lee, Thorsten Lill, and Steven M. George. "Thermal etching of AlF3 and thermal atomic layer etching of Al2O3." Journal of Vacuum Science & Technology A 38, no. 2 (March 2020): 022603. http://dx.doi.org/10.1116/1.5135911.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
39

Park, Sang-Duk, Kyung-Suk Min, Byoung-Young Yoon, Do-Haing Lee, and Geun-Young Yeom. "Precise Depth Control of Silicon Etching Using Chlorine Atomic Layer Etching." Japanese Journal of Applied Physics 44, no. 1A (January 11, 2005): 389–93. http://dx.doi.org/10.1143/jjap.44.389.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
40

Tsutsumi, Takayoshi, Masaru Zaitsu, Akiko Kobayashi, Nobuyoshi Kobayashi, and Masaru Hori. "(Invited) Advanced Plasma Etching Processing: Atomic Layer Etching for Nanoscale Devices." ECS Transactions 77, no. 3 (April 21, 2017): 25–28. http://dx.doi.org/10.1149/07703.0025ecst.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
41

Khan, M. B., Sh Shakeel, K. Richter, S. Ghosh, A. Erbe, and Yo M. Georgiev. "Atomic layer etching of nanowires using conventional reactive ion etching tool." Journal of Physics: Conference Series 2443, no. 1 (February 1, 2023): 012004. http://dx.doi.org/10.1088/1742-6596/2443/1/012004.

Повний текст джерела
Анотація:
Abstract Innovative material and processing concepts are needed to further enhance the performance of complementary metal-oxide-semiconductor (CMOS) transistors-based circuits as the scaling limits are being reached. To supplement that, we report on the development of an atomic layer etching (ALE) process to fabricate small and smooth nanowires using a conventional dry etching tool. Firstly, a negative tone resist (hydrogen silsesquioxane) is spin-coated on Silicon Germanium-on-insulator (SiGeOI) samples and electron beam lithography is performed to create nanopatterns. These patterns act as an etch mask and are transferred into the SiGeOI layer using an inductively-coupled plasma reactive ion etching (ICP-RIE) process. Subsequently, an SF6 and Ar+ based ALE process is employed to smoothen the nanowires and reduce their widths. SF6 modifies the surface of the samples, while in the next step Ar+ removes the modified surface. To investigate the effect of this process on the nanowire width, several ALE cycles are performed. The etched features are inspected using scanning electron microscopy. With the increasing number of ALE cycles, a reduction in the width is observed. An etch per cycle of 1.1 Å is obtained.
Стилі APA, Harvard, Vancouver, ISO та ін.
42

Pollet, Olivier, Nicolas Possémé, Vincent Ah-Leung, and Maxime Garcia Barros. "Thin Layer Etching of Silicon Nitride: Comparison of Downstream Plasma, Liquid HF and Gaseous HF Processes for Selective Removal after Light Ion Implantation." Solid State Phenomena 255 (September 2016): 69–74. http://dx.doi.org/10.4028/www.scientific.net/ssp.255.69.

Повний текст джерела
Анотація:
For technology nodes beyond 14nm silicon nitride spacer etching has become a major challenge. Conventional plasma etching techniques based on CHF3/O2 cannot achieve thorough nitride removal on horizontal surfaces without inducing either CD loss or Si/SiGe source/drain recess. This leads to either gate leakage increase or poor raised source/drain epitaxy. To overcome atomic scale control issues faced with continuous plasma processes, several techniques aiming at achieving atomic layer etching or thin layer etching were recently described [1]. An original etching approach has been reported which consists in modifying the silicon nitride through H2 ion implantation by plasma (ICP or CCP) and then selectively removing the modified fraction of the layer thanks to chemical etching [2]. Layer modification depth is controlled thanks to plasma parameters (bias voltage and process time). This unconventional technique was demonstrated on 14nm FDSOI logic device and showed less than 1nm spacer CD loss, less than 0.6nm SiGe recess which enabled defect-free source/drain epitaxy [2]. Mechanisms for silicon nitride modifications and selective removal are discussed in this article by comparing downstream plasma, liquid-phase HF and gas-phase HF as removal techniques.
Стилі APA, Harvard, Vancouver, ISO та ін.
43

Abromavičius, Giedrius, Martynas Skapas, and Remigijus Juškėnas. "Enhancing Laser Damage Resistance of Co2+:MgAl2O4 Crystal by Plasma Etching." Applied Sciences 13, no. 2 (January 14, 2023): 1150. http://dx.doi.org/10.3390/app13021150.

Повний текст джерела
Анотація:
Co2+:MgAl2O4 crystals are successfully used as passive Q-switches within the cavity of erbium glass lasers. Their limited resistance to laser radiation might also put constraints on the generated output peak power. Usually, polishing of optical substrates induces a contaminated Beilby layer and damages the subsurface layer, which leads to a considerably lower optical resistance of the obtained surface. Low-energy oxygen plasma etching using different depths of 50, 100, 250 and 400 nm was performed on polished crystals. The surface morphology by atomic force microscopy, transmission spectra, subsurface structure by transmission electron microscopy and the LIDT (R(1)-in-1) using 1540 nm nanosecond pulses were analyzed. It was demonstrated that plasma etching substantially increased the initial crystal surface LIDT. It also allowed the removal of the damaged subsurface layer and almost maintained the initial surface roughness. The presented results demonstrated the good potential of oxygen plasma etching for obtaining highly laser-damage-resistant Co2+:MgAl2O4 crystals for high-power laser applications.
Стилі APA, Harvard, Vancouver, ISO та ін.
44

Chittock, Nicholas John, Wilhelmus M. M. (Erwin) Kessels, Harm Knoops, and Adrie Mackus. "(Invited) The Use of Plasmas for Isotropic Atomic Layer Etching." ECS Meeting Abstracts MA2023-02, no. 29 (December 22, 2023): 1464. http://dx.doi.org/10.1149/ma2023-02291464mtgabs.

Повний текст джерела
Анотація:
Atomic layer etching (ALE) is set to be a vital part of the advanced semiconductor manufacturing toolbox, known for its precise control of the film thickness and minimal damage. These benefits are possible due to the sequential self-limiting half-cycles that are employed within an ALE process. Initially, ALE was underestimated due to low etch rates, but it is now experiencing a renaissance due to the requirements imposed by further downscaling.1 The ALE community is mostly divided into two groups: plasma anisotropic and thermal isotropic etching. 2 In this work, the focus is on exploring isotropic plasma ALE, which is a relatively unexplored direction. This approach involves using plasma radicals to modify and/or etch material isotropically, while minimizing the directional ion component of the plasma. Isotropic plasma ALE can offer several benefits over strictly thermal ALE, such as higher etch rates, lower operating temperatures, and the ability to etch more resistant materials. In this talk we will first address some of the different techniques employed for plasma isotropic ALE in the literature. Subsequently, we will discuss our own work, which has focused on two isotropic plasma ALE chemistries. The first involves alternating between plasma fluorination and ligand-exchange half-cycles, this ALE chemistry has been found to be effective for etching Al2O3, HfO2, AlN, and GaN films.3,4 Surface contaminants and roughness were found to be reduced post ALE, an aspect that is particularly important for AlN and GaN films that are used in quantum, power semiconductor and LED applications. The second approach involves using diketone (e.g. acetylacetone, hexafluoroacetylacetone) doses followed by either H2 or O2 plasma exposures for ALE of Al2O3 and ZnO.5 The diketone in this process acts to etch the surface, however due to competitive adsorption of different diketone orientations an etch inhibition layer forms. The plasma step is then utilized to clean the surface, removing the self-limiting inhibitor layer, enabling etching to continue in the next cycle. This ALE chemistry diverges from the typical modification/removal ALE cycle, where a modified layer if often observed on the material post ALE. In comparison the diketone ALE chemistry does not rely on modification of the surface making it an ideal process for surface cleaning. This approach provides improved selectivity over the plasma fluorination process and also enables smoothing. Plasma isotropic ALE is a growing part of the ALE community that can help accelerate the adoption of ALE in academia and industry. The potential benefits of ALE are only just beginning to be explored, and more processes are needed to etch the ever-increasing range of materials utilized in IC manufacturing. Kanarik, K. J., Tan, S. & Gottscho, R. A. Atomic Layer Etching: Rethinking the Art of Etch. J Phys Chem Lett 9, 4814–4821 (2018). George, S. M. Mechanisms of Thermal Atomic Layer Etching. Acc Chem Res 53, 1151–1160 (2020). Chittock, N. J. et al. Isotropic plasma atomic layer etching of Al2O3 using a fluorine containing plasma and Al(CH3)3. Appl Phys Lett 117, 162107 (2020). Wang, H., Hossain, A., Catherall, D. & Minnich, A. J. Isotropic plasma-thermal atomic layer etching of aluminum nitride using SF6 plasma and Al(CH3)3. 1–14 (2022) doi:arXiv.2209.00150. Mameli, A., Verheijen, M. A., Mackus, A. J. M., Kessels, W. M. M. & Roozeboom, F. Isotropic Atomic Layer Etching of ZnO Using Acetylacetone and O2 Plasma. ACS Appl Mater Interfaces 10, 38588–38595 (2018).
Стилі APA, Harvard, Vancouver, ISO та ін.
45

MOCHIJI, KOZO. "Atomic Layer Etching by Using Multiply-Charged Ions." Hyomen Kagaku 16, no. 6 (1995): 367–72. http://dx.doi.org/10.1380/jsssj.16.367.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
46

Tan, Samantha, Wenbing Yang, Keren J. Kanarik, Thorsten Lill, Vahid Vahedi, Jeff Marks, and Richard A. Gottscho. "Highly Selective Directional Atomic Layer Etching of Silicon." ECS Journal of Solid State Science and Technology 4, no. 6 (2015): N5010—N5012. http://dx.doi.org/10.1149/2.0031506jss.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
47

Kim, Woo-Hee, Dougyong Sung, Sejin Oh, Jehun Woo, Seungkyu Lim, Hyunju Lee, and Stacey F. Bent. "Thermal adsorption-enhanced atomic layer etching of Si3N4." Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 36, no. 1 (January 2018): 01B104. http://dx.doi.org/10.1116/1.5003271.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
48

Berry, Ivan L., Keren J. Kanarik, Thorsten Lill, Samantha Tan, Vahid Vahedi, and Richard A. Gottscho. "Applying sputtering theory to directional atomic layer etching." Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 36, no. 1 (January 2018): 01B105. http://dx.doi.org/10.1116/1.5003393.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
49

Lee, Kang-Il, Dong Chan Seok, Soo Ouk Jang, and Yong Sup Choi. "Development of Silicon Carbide Atomic Layer Etching Technology." Thin Solid Films 707 (August 2020): 138084. http://dx.doi.org/10.1016/j.tsf.2020.138084.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
50

Kim, Ki Seok, Ki Hyun Kim, Yeonsig Nam, Jaeho Jeon, Soonmin Yim, Eric Singh, Jin Yong Lee, et al. "Atomic Layer Etching Mechanism of MoS2 for Nanodevices." ACS Applied Materials & Interfaces 9, no. 13 (March 27, 2017): 11967–76. http://dx.doi.org/10.1021/acsami.6b15886.

Повний текст джерела
Стилі APA, Harvard, Vancouver, ISO та ін.
Ми пропонуємо знижки на всі преміум-плани для авторів, чиї праці увійшли до тематичних добірок літератури. Зв'яжіться з нами, щоб отримати унікальний промокод!

До бібліографії