Дисертації з теми "3D widget"
Оформте джерело за APA, MLA, Chicago, Harvard та іншими стилями
Ознайомтеся з топ-42 дисертацій для дослідження на тему "3D widget".
Біля кожної праці в переліку літератури доступна кнопка «Додати до бібліографії». Скористайтеся нею – і ми автоматично оформимо бібліографічне посилання на обрану працю в потрібному вам стилі цитування: APA, MLA, «Гарвард», «Чикаго», «Ванкувер» тощо.
Також ви можете завантажити повний текст наукової публікації у форматі «.pdf» та прочитати онлайн анотацію до роботи, якщо відповідні параметри наявні в метаданих.
Переглядайте дисертації для різних дисциплін та оформлюйте правильно вашу бібліографію.
Cohé, Aurélie. "Manipulation de contenu 3D sur des surfaces tactiles." Phd thesis, Université Sciences et Technologies - Bordeaux I, 2012. http://tel.archives-ouvertes.fr/tel-00789111.
Повний текст джерелаBrandt, David E. "Building 3D-Printed Widgets to Incorporate into Prototypes." BYU ScholarsArchive, 2015. https://scholarsarchive.byu.edu/etd/5625.
Повний текст джерелаMania, Katerina. "Interactive 3D environments through the World Wide Web." Thesis, University of Bristol, 1996. http://hdl.handle.net/1983/57662a5e-6eb2-452a-9b4f-f60713f7bded.
Повний текст джерелаBay, Herbert. "From wide-baseline point and line correspondences to 3D." Konstanz Hartung-Gorre, 2009. http://d-nb.info/998626740/04.
Повний текст джерелаYu, Xiafei. "Wide Activated Separate 3D Convolution for Video Super-Resolution." Thesis, Université d'Ottawa / University of Ottawa, 2019. http://hdl.handle.net/10393/39974.
Повний текст джерелаEarnshaw, Rae A. "3D and multimedia on the information superhighway." IEEE, 1997. http://hdl.handle.net/10454/3509.
Повний текст джерелаWhat has generated the unprecedented fascination with the Internet? What future lies ahead for computing as the Internet and its associated infrastructure expand? Will the network be able to cope with rising demands for carrying capacity and response speed? Will it change the way scientists, designers, artists, computer professionals, and home users work in the future? These are some of the wideranging questions being asked about the Internet and World Wide Web.
Koch, Olivier (Olivier A. ). "Wide-area egomotion from omnidirectional video and coarse 3D structure." Thesis, Massachusetts Institute of Technology, 2007. http://hdl.handle.net/1721.1/38668.
Повний текст джерелаIncludes bibliographical references (p. 85-89).
This thesis describes a method for real-time vision-based localization in human-made environments. Given a coarse model of the structure (walls, floors, ceilings, doors and windows) and a video sequence, the system computes the camera pose (translation and rotation) in model coordinates with an accuracy of a few centimeters in translation and a few degrees in rotation. The system has several novel aspects: it performs 6-DOF localization; it handles visually cluttered and dynamic environments; it scales well over regions extending through several buildings; and it runs over several hours without losing lock. We demonstrate that the localization problem can be split into two distinct problems: an initialization phase and a maintenance phase. In the initialization phase, the system determines the camera pose with no other information than a search region provided by the user (building, floor, area, room). This step is computationally intensive and is run only once, at startup. We present a probabilistic method to address the initialization problem using a RANSAC framework. In the maintenance phase, the system keeps track of the camera pose from frame to frame without any user interaction.
(cont.) This phase is computationally light-weight to allow a high processing frame rate and is coupled with a feedback loop that helps reacquire "lock" when lock has been lost. We demonstrate a simple, robust geometric tracking algorithm based on correspondences between 3D model lines and 2D image edges. We present navigation results on several real datasets across the MIT campus with cluttered, dynamic environments. The first dataset consists of a five-minute robotic exploration across the Robotics, Vision and Sensor Network Lab. The second dataset consists of a two-minute hand-held, 3D motion in the same lab space. The third dataset consists of a 26-minute exploration across MIT buildings 26 and 36.
by Olivier Koch.
S.M.
LIU, BOSUI. "VERTICALLY INTERCONNECTED WIDE-BANDWIDTH MONOLITHIC PLANAR ANTENNAS FOR 3D-IC." University of Cincinnati / OhioLINK, 2002. http://rave.ohiolink.edu/etdc/view?acc_num=ucin1040154281.
Повний текст джерелаVickovic, Sanja. "Transcriptome-wide analysis in cells and tissues." Doctoral thesis, KTH, Genteknologi, 2017. http://urn.kb.se/resolve?urn=urn:nbn:se:kth:diva-199447.
Повний текст джерелаQC 20170109
Zhao, Kunchen. "3D Printed Frequency Scanning Slotted Waveguide Array with Wide Band Power Divider." The Ohio State University, 2019. http://rave.ohiolink.edu/etdc/view?acc_num=osu1555589955819802.
Повний текст джерелаLamb, Rachel. "Quaternary environments of the central North Sea from basin-wide 3D seismic data." Thesis, University of Manchester, 2016. https://www.research.manchester.ac.uk/portal/en/theses/quaternary-environments-of-the-central-north-sea-from-basinwide-3d-seismic-data(e7b26bab-8e0f-4403-b4c5-aee201ac6843).html.
Повний текст джерелаMarchives, Yoann. "Development of 3D filter made by stereolithography." Thesis, Limoges, 2016. http://www.theses.fr/2016LIMO0073/document.
Повний текст джерелаEvery day, the data exchanges increase thanks to the new technologies. We can keep our files, our pictures, our videos online to have an access anywhere on the planet (for now). In this way, the data output of the telecommunication systems has to be increased in order to satisfy the more and more demanding users. One way to allow this is to increase the bandwidths of the different signals, making possible to transmit more data at the same time. In this work, we will develop wide bandpass filters dedicated to space telecommunications. For that purpose, we need them to be compact, with low insertion loss and a limited number of parts to assemble. Consequently, we are interested to use resonators made with ceramic materials that permits to reach such properties. Moreover, these materials are compatible with stereolithography, an additive manufacturing process. Such technology is here very useful for our purpose since its design freedom allows the creation of almost all kind of geometries. To realize such wide bandpass filters, we need strong couplings between the different resonators and also for the accesses, so we will present our studies focused on reaching these specific objectives. Then, we will present different designs of wide bandpass filter around 4GHz. After different generation of ceramic based components, we are be able to experimentally create a 60% bandwidth (even 100% for our last version) very compact bandpass filter filling the objectives of this PhD thesis
Yamaguchi, Tatsuhisa. "3D Video Capture of a Moving Object in a Wide Area Using Active Cameras." 京都大学 (Kyoto University), 2013. http://hdl.handle.net/2433/180466.
Повний текст джерелаBerthaut, Florent. "Construction, manipulation et visualisation de processus sonores dans des environnements virtuels immersifs pour la performance musicale." Phd thesis, Université Sciences et Technologies - Bordeaux I, 2010. http://tel.archives-ouvertes.fr/tel-00573382.
Повний текст джерелаSatanek, Brandon L. "The Effects of Multidimensional Navigational Aids and Individual Differences on WWW Hypertext Navigation." Thesis, Virginia Tech, 1998. http://hdl.handle.net/10919/36690.
Повний текст джерелаMaster of Science
Zhang, Shuangfeng. "Wide Bandgap Semiconductor Components Integration in a PCB Substrate for the Development of a High Density Power Electronics Converter." Thesis, Université Paris-Saclay (ComUE), 2018. http://www.theses.fr/2018SACLS398/document.
Повний текст джерелаThe emerging wide bandgap (WBG) semiconductor devices have been developed for power conversion applications instead of silicon devices due to higher switching frequencies (from few 100 kHz to several MHz) and lower on-state losses resulting in a better efficiency. In order to take full advantage of the WBG components, PCB technology is attractive for high power density integration thanks to its flexibility and low cost. However, due to poor thermal conductivity of the commonly used material Flame Retardant-4 (FR4), efficient thermal solutions are becoming a challenging issue in integrated power boards based on PCB substrates. So it is of the first importance to seek technological means in order to improve the thermal performances. In this thesis, three main thermal management solutions for PCB structures have been investigated including thermal vias, thick copper thickness on the PCB substrate as well as thermoelectric cooling (TEC) devices. Our studies are based on the electro-thermal modeling and 3D finite element (FE) methods. Firstly, optimization of the thermal via parameters (via diameter, via plating thickness, via-cluster surface, via pattern, pitch distance between vias etc.) has been realized to improve their cooing performances. We presented and evaluated thermal performances of the PCB structures by analyzing the thermal resistance of the PCB substrate with different thermal vias. Secondly, it is found that thermal performances of the PCB structures can be enhanced by using thick copper thickness on top of the PCB substrate, which increases the lateral heat flux along the copper layer. Influences of the copper thickness (35 µm to 500 µm) has been discussed. This solution is easy to realize and can be combined with other cooling solutions. Thirdly, thermoelectric cooler like Peltier device is a solid-state cooling technology that can meet the local cooling requirements. Influences of Peltier parameters (Thermoelectric material properties, number of Peltier elements, distance between the heating source and the Peltier devices etc.) have been identified. All these analyses demonstrate the potential application of Peltier devices placed beside the heating source for PCB structures, which is a benefit for developing the embedding technology in such structures
Mennillo, Laurent. "Reconstruction 3D de l'environnement dynamique d'un véhicule à l'aide d'un système multi-caméras hétérogène en stéréo wide-baseline." Thesis, Université Clermont Auvergne (2017-2020), 2019. http://www.theses.fr/2019CLFAC022/document.
Повний текст джерелаThis Ph.D. thesis, which has been carried out in the automotive industry in association with Renault Group, mainly focuses on the development of advanced driver-assistance systems and autonomous vehicles. The progress made by the scientific community during the last decades in the fields of computer science and robotics has been so important that it now enables the implementation of complex embedded systems in vehicles. These systems, primarily designed to provide assistance in simple driving scenarios and emergencies, now aim to offer fully autonomous transport. Multibody SLAM methods currently used in autonomous vehicles often rely on high-performance and expensive onboard sensors such as LIDAR systems. On the other hand, digital video cameras are much cheaper, which has led to their increased use in newer vehicles to provide driving assistance functions, such as parking assistance or emergency braking. Furthermore, this relatively common implementation now allows to consider their use in order to reconstruct the dynamic environment surrounding a vehicle in three dimensions. From a scientific point of view, existing multibody visual SLAM techniques can be divided into two categories of methods. The first and oldest category concerns stereo methods, which use several cameras with overlapping fields of view in order to reconstruct the observed dynamic scene. Most of these methods use identical stereo pairs in short baseline, which allows for the dense matching of feature points to estimate disparity maps that are then used to compute the motions of the scene. The other category concerns monocular methods, which only use one camera during the reconstruction process, meaning that they have to compensate for the ego-motion of the acquisition system in order to estimate the motion of other objects. These methods are more difficult in that they have to address several additional problems, such as motion segmentation, which consists in clustering the initial data into separate subspaces representing the individual movement of each object, but also the problem of the relative scale estimation of these objects before their aggregation within the static scene. The industrial motive for this work lies in the use of existing multi-camera systems already present in actual vehicles to perform dynamic scene reconstruction. These systems, being mostly composed of a front camera accompanied by several surround fisheye cameras in wide-baseline stereo, has led to the development of a multibody reconstruction method dedicated to such heterogeneous systems. The proposed method is incremental and allows for the reconstruction of sparse mobile points as well as their trajectory using several geometric constraints. Finally, a quantitative and qualitative evaluation conducted on two separate datasets, one of which was developed during this thesis in order to present characteristics similar to existing multi-camera systems, is provided
Feuray, William. "Multiplexeurs Accordables pour Application Spatiale." Thesis, Limoges, 2017. http://www.theses.fr/2017LIMO0115/document.
Повний текст джерелаThis thesis is about study of tunable output multiplexer principle for telecommunication satellite payload. The first step is analysis of the main topologies of output multiplexers and to compare them to conclude with the best candidate. Then, several studies were conducted on 3D plastic printed passive components with specific metallizations, searching how to improve results in term of losses and precision. Last part detailed two channels multiplexer design which can be used on three different states of relative bandwidth from 1.6 to 4.8 % at 19 GHz, and realization of two concept proof prototypes (one in metallized 3D printed plastic and another one in machined aluminum)
Dieng, Khadim. "Caractérisation et modélisation de nouvelles capacités «Through Silicon Capacitors» à forte intégration pour la réduction de consommation et la montée en fréquence dans les architectures 3D de circuits intégrés." Thesis, Université Grenoble Alpes (ComUE), 2016. http://www.theses.fr/2016GREAT107/document.
Повний текст джерелаThe decrease of transistor’s gate length was the key driver of the development of microelectronic integrated circuits in recent decades. However, this development of microelectronic circuits has led to a greater density of interconnection lines, generating high losses, slowdowns and crosstalk on the transmitted signals, and an increase of the parasitic impedance of interconnections lines. The latter is detrimental to the power integrity of the active components in the circuit. Its increase increases the risk of developing numerical errors leading to a system’s malfunction. It is therefore necessary to reduce the impedance of the power distribution network of integrated circuits. To do this, the decoupling capacitors are used and placed hierarchically on different floors of the circuits and in their entirety (PCB, package, interposer, chip).These doctoral works are in the context of recent developments in new 3D integration solutions in microelectronics and they carry on studying new 3D capacitors, highly integrated, presenting high capacitance values (> 1 nF), and developed by using the depth of silicon interposeur level. Inspired from the Through Silicon Vias (TSV), these newly developed 3D capacitors are named Through Silicon Capacitors (TSC). They are a key element for improving the performance of the power integrated circuits because they can efficiently reduce the consumption of circuits thanks to their direct integration in silicon interposer which is used to stack chips. These 3D components allow tor reach high capacitance density up to 35 nF/mm². The issues are strategic for high speed embedded applications and more generally in an economic and societal environment aware of our energy limits. Moreover these decoupling capacitors must operate at frequencies up to 2 GHz or 4 GHz, which tend to maximize the parasitic effects which affect the energy efficiency of power distribution networks. This is made possible by optimizing their integration and by the use of copper layers with a good conductivity higher than 45 MS / m conductivity as electrodes.The technologies used to fabricate the TSC are developed by CEA-LETI and STMicroelectronics. The electrical behavior of those TSC remained hitherto little known and their performances difficult to quantify. The studies conducted in this thesis were to model these new components by taking into account the material and geometrical parameters in order to know the parasitic effects. The established electrical models have faced electrical characterizations carried out over a wide frequency range (DC to 40 GHz). This work allow to optimize the TSC architecture and their integration in a power distribution network (Power Distribution Network - NDS) prove that they are good candidate for decoupling operations
Schattschneider, Robert. "Accurate high-resolution 3D surface reconstruction and localisation using a wide-angle flat port underwater stereo camera: towards autonomous ship hull inspection." Thesis, University of Canterbury. Computer Science and Software Engineering, 2014. http://hdl.handle.net/10092/10063.
Повний текст джерелаLi, Vladimir. "Evaluation of the CNN Based Architectures on the Problem of Wide Baseline Stereo Matching." Thesis, KTH, Datorseende och robotik, CVAP, 2016. http://urn.kb.se/resolve?urn=urn:nbn:se:kth:diva-192476.
Повний текст джерелаFenollosa, Artés Felip. "Contribució a l'estudi de la impressió 3D per a la fabricació de models per facilitar l'assaig d'operacions quirúrgiques de tumors." Doctoral thesis, Universitat Politècnica de Catalunya, 2019. http://hdl.handle.net/10803/667421.
Повний текст джерелаLa presente tesis doctoral se ha centrado en el reto de conseguir, mediante Fabricación Aditiva (FA), modelos para ensayo quirúrgico, bajo la premisa que los equipos para obtenerlos tendrían que ser accesibles al ámbito hospitalario. El objetivo es facilitar la extensión del uso de modelos como herramienta de preparación de operaciones quirúrgicas, transformando la práctica médica actual de la misma manera que, en su momento, lo hicieron tecnologías como las que facilitaron el uso de radiografías. El motivo de utilizar FA, en lugar de tecnologías más tradicionales, es su capacidad de materializar de forma directa los datos digitales obtenidos de la anatomía del paciente mediante sistemas de escaneado tridimensional, haciendo posible la obtención de modelos personalizados. Los resultados se centran en la generación de nuevo conocimiento para conseguir equipamientos de impresión 3D multimateriales accesibles que permitan la obtención de modelos miméticos respecto a los tejidos vivos. Para facilitar la buscada extensión de la tecnología, se ha focalizado en las tecnologías de código abierto como la Fabricación por Hilo Fundido (FFF) y similares basadas en líquidos catalizables. Esta investigación se alinea dentro de la actividad de desarrollo de la FA en el CIM UPC, y en este ámbito concreto con la colaboración con el Hospital Sant Joan de Déu de Barcelona (HSJD). El primer bloque de la tesis incluye la descripción del estado del arte, detallando las tecnologías existentes y su aplicación al entorno médico. Se han establecido por primera vez unas bases de caracterización de los tejidos vivos – principalmente blandos – para dar apoyo a la selección de materiales que los puedan mimetizar en un proceso de FA, a efectos de mejorar la experiencia de ensayo de los cirujanos. El carácter rígido de los materiales mayoritariamente usados en impresión 3D los hace poco útiles para simular tumores y otras referencias anatómicas. De forma sucesiva, se tratan parámetros como la densidad, la viscoelasticidad, la caracterización de materiales blandos en la industria, el estudio del módulo elástico de tejidos blandos y vasos, la dureza de los mismos, y requerimientos como la esterilización de los modelos. El segundo bloque empieza explorando la impresión 3D mediante FFF. Se clasifican las variantes del proceso desde el punto de vista de la multimaterialidad, esencial para hacer modelos de ensayo quirúrgico, diferenciando entre soluciones multiboquilla y de mezcla en el cabezal. Se ha incluido el estudio de materiales (filamentos y líquidos) que serían más útiles para mimetizar tejidos blandos. Se constata como en los líquidos, en comparación con los filamentos, la complejidad del trabajo en procesos de FA es más elevada, y se determinan formas de imprimir materiales muy blandos. Para acabar, se exponen seis casos reales de colaboración con el HJSD, una selección de aquellos en los que el doctorando ha intervenido en los últimos años. El origen se encuentra en la dificultad del abordaje de operaciones de resección de tumores infantiles como el neuroblastoma, y en la iniciativa del Dr. Lucas Krauel. Finalmente, el Bloque 3 desarrolla numerosos conceptos (hasta 8), actividad completada a lo largo de los últimos cinco años con el apoyo de los medios del CIM UPC y de la actividad asociada a trabajos finales de estudios de estudiantes de la UPC, llegándose a materializar equipamientos experimentales para validarlos. La investigación amplia y sistemática al respecto hace que se esté más cerca de disponer de una solución de impresión 3D multimaterial de sobremesa. Se determina que la mejor vía de progreso es la de disponer de una pluralidad de cabezales independientes, a fin de capacitar la impresora 3D para integrar diversos conceptos estudiados, materializándose una posible solución. Para cerrar la tesis, se plantea cómo sería un equipamiento de impresión 3D para modelos de ensayo quirúrgico, a fin de servir de base para futuros desarrollos.
Coelho, Vítor Manuel Sousa. "3D-Printed wide beamwidth lens antennas." Master's thesis, 2021. http://hdl.handle.net/10773/33655.
Повний текст джерелаA recente evolução das radiocomunicações combinada com as inovadoras técnicas de fabrico, como a impressão 3D, impulsionaram o desenvolvimento e implementação de antenas com novas estruturas fabricadas com materiais incomuns. Um exemplo deste tipo de evolução são as antenas lente. As antenas lente estão sempre associadas a uma antena fonte (usualmente uma antena microstrip patch) e permitem alterar as caraterísticas de radiação (variar o ganho ou a directividade) da antena fonte. Assim, as lentes podem ser usadas para melhorar o desempenho de alguns tipos de sistema radiantes, como por exemplo o caso dos phased arrays, utilizados para fazer beamforming. No entanto, estes apresentam algumas limitações de cobertura, devido aos seus elementos do array terem ganho diretivo variável na zona de interesse. A utilização duma antena lente faz com que ocorra a alteração do diagrama de radiação de modo a obter uma maior largura de feixe podendo ser uma solução para referida limitação. Ao longo desta dissertação foi estudada a possibilidade de se utilizarem lentes para aumentar a largura de feixe de uma simples antena microstrip patch. Para isso, foram estudadas e realizadas simulações de várias estruturas de antenas lente com uma antena patch (calibrada para os 7.8GHz) com o intuito de determinar qual o comportamento do conjunto e verificar a possibilidade de tornar mais uniforme o diagrama de radiação no semi-espaço pretendido . A produção de protótipos de antenas lente com a impressão 3D requer o conhecimento das características elétricas dos materiais de fabrico (PLA, PETG e nylon), mais precisamente sua constate dielétrica. Para tal, foi feita uma caracterização de várias amostras desses materiais tendo em conta diferentes condições de fabrico. A última etapa foi a fabricação, por impressão 3D, de protótipos de antenas e lentes, utilizando diferentes materiais e condições de fabrico. No total foram fabricadas nove lentes (seis com uma estrutura de um único material e três com vários materiais distintos) e sete antenas patch (cinco de polarização linear e duas de polarização circular). Finalmente foi feito um estudo comparativo dos resultados obtidos por simulação com as medidas realizadas em câmara anecoica tanto para as antenas patch, como para o conjunto antena lente.
Mestrado em Engenharia Eletrónica e Telecomunicações
Wu, Binju, and 吳秉儒. "The Design of Mixing Widgets with 3D Graphics for the OpenGL Version of CWT." Thesis, 2008. http://ndltd.ncl.edu.tw/handle/40181359968874792733.
Повний текст джерела國立交通大學
資訊學院資訊科技產業專班
97
In this thesis, the use of an open graphics architecture CWT(CYC Window Toolkit) among the implementation of the OpenGL version. Design a system for mixing widgets of the 2D and 3D graphics. And by the experiment to verify whether the developer can provide a better choice of 3D Game UI toolkit.
Koch, Olivier, and Seth Teller. "Wide-Area Egomotion Estimation from Known 3D Structure." 2006. http://hdl.handle.net/1721.1/30605.
Повний текст джерела劉彥廷. "Wide-baseline Stereo Matching for 3D Scene Reconstruction." Thesis, 2012. http://ndltd.ncl.edu.tw/handle/54531470494902318271.
Повний текст джерела國立交通大學
電子研究所
101
In this thesis, we present a wide-baseline stereo system for 3D scene reconstruction. We implement our system with multiple un-calibrated cameras which are set widely. The main challenge of the system lies on how to match image pairs at wide-baseline, in which there appear large perspective distortions and large occlusion areas between images. In this research, we attempt to tackle the problem based on machine learning and optimization techniques. In order to match image more accurately, we apply random forest to overcome large perspective distortions, and add Conditional Random Field (CRF) with modified Histogram of Oriented Gradients (HOG) to solve the matching problem. Combining conditional random field with random forest can not only correct error correspondences but handle some occlusions. After getting matched points, we use these correspondences to find a 3D point set and camera matric by bundle adjustment (BA) that minimizes re-projection error. Then, we use the idea of spectral matting to refine the 3D point set. Finally, we build a 3D model with the refined point set.
江宛庭. "3D Redundancy Architecture for Wide-I/O DRAM." Thesis, 2013. http://ndltd.ncl.edu.tw/handle/97903502792722541857.
Повний текст джерела國立清華大學
電機工程學系
101
The three-dimensional integrated circuit (3D IC) is considered a promising approach that can obtain high data band-width and low power consumption for future electronic systems that require high integration level. One of the popular drivers for 3D IC is the integration of a memory stack and a logic die. Because the yield of a 3D IC is the product of respective yields of the mounted dies, the yields of the memory dies and logic die must be high enough, or the 3D IC will be too expensive to be manufactured. It is generally agreed that the yield of large memories that are manufactured with advanced technologies is lower than the logic die. As a consequence, to obtain a high yield of 3D ICs, efficient test and repair methodologies for memories are necessary. In this thesis, we target the wide-I/O dynamic random access memory (DRAM) and propose two 3D redundancy architectures, i.e., Cubical Redundancy Architectures 1 and 2 (CRA1 and CRA2). In CRA1, spares are associated with each DRAM die as in a conventional 2D architecture. In CRA2, we use a static random access memory (SRAM) on the logic die as spares. We implemented both CRA1 and CRA2, and compared their repair rates as well as area overhead with the traditional redundancy architecture (TRA). Experimental results show that the CRA1 can obtain up to 3% higher stack yield than the TRA with the same area overhead. On the other hand, the CRA2 can obtain the same yield as the CRA1 with 40% less spares, but 1.27% higher area overhead than the CRA1.
Chou, Ssu-hsuan, and 周思瑄. "Optimization of a 3D Wide-field Super-resolutionOptical Sectioning Microscope." Thesis, 2010. http://ndltd.ncl.edu.tw/handle/86815363720654916922.
Повний текст джерела國立中正大學
物理所
98
Wide-field optical microscopy is one of the most popular techniques for observing biological specimens. However, the optical resolution of microscopy is limited to be larger than ~ 0.5??laterally and 1.5? in depth due to diffraction effect. It is shown that the wide-field super-resolution imaging with the lateral resolution on the order of 100 nm is achievable if the sample is illuminated with periodic structured light. In order to retrieve a super-resolution image, several images illuminated with space-shifted patterned light must be taken and processed numerically. This will greatly reduce the imaging frame rate as mechanical movements are involved. In this thesis, we show that optically sectioned super-resolution microscopic imaging can be achieved by using a single SLM to modulate the illumination light. The 2D sinusoidal modulation mesh pattern projected on the sample is shifted by rapidly varying the driving signal on the LCoS panel at a frame-refresh rate as high as 60 Hz. The sectioned and super-resolved image is generated simultaneously from the same set of patterned excitation images, and the image acquisition rate of the image set can be as high as one set per second. By varying the period of 2D sinusoidal pattern on SLM, we can control the enhancement factor of the spatial resolution while keeping the field of view of the image fixed. With the SLM based super-resolution sectioning microscope, we improve the lateral resolution to 0.25 wavelengths and achieve a depth resolution of 0.38 wavelengths simultaneously. By taking the advantage of our advanced imaging system, 3D sectioning images of BPAE cells with mouse anti-?-tubulin and f-actin have been successfully acquired. These results demonstrate the ability of observing the sub-cell structure. Furthermore, this simple and high-resolution wide-field optical microscopy can be easily implemented on conventional fluorescence microscopes and has the potential to be applied to dynamical analyses of the organelles inside a live cell.
Huang, Tsung-Hsien, and 黃宗賢. "Low Power Charge-Sharing Transfer Scheme for Ultra-scalable TSV-based wide IO 3D SRAM in 3D-IC." Thesis, 2012. http://ndltd.ncl.edu.tw/handle/73926245021635680650.
Повний текст джерелаMaza, Armando Rodriguez. "Inkjet-Printed Ultra Wide Band Fractal Antennas." Thesis, 2012. http://hdl.handle.net/10754/224731.
Повний текст джерелаCHUNG, I.-HAO, and 鍾宜豪. "Wide-field White-light Interference Microscopy for 3D Color Surface Measurement." Thesis, 2018. http://ndltd.ncl.edu.tw/handle/87cfck.
Повний текст джерела逢甲大學
光電學系
106
In this study, we have successfully extended the capability of traditional scanning white-light interference microscopy (SWIM) to wide-field 3D color surface measurement. The new SWIM system uses a color CCD to record interference images. The outputs of the CCD are three RGB interferograms. In order to maintain high axial resolution, the RGB interference images are combined to generate one white-light interferogram. The surface profile of a tested sample is restored via vertical scanning and centroid methods. The colors of the sample are the average values of RGB interference signals near zero optical path difference. The field of view is broadened by stitching many surface-profiles together. Every two adjacent fields overlap partially. The heights of the overlapped regions are corrected according to plane-fitting results. Finally, we use least root-mean-square error of the height difference between the overlapped areas for determining the offset along X and Y directions of two adjacent fields. 3×3-field measurements with this new SWIM were performed on multiple samples, the system is proved very effective in measuring wide-field 3D color surface. The measurement area is increased by 6 times compared with the conventional white-light interference microscope.
Lin, Yu-Hsuan, and 林昱諠. "Wide-I/O 3D-Stacked DRAM Controller for Near-Data Processing System." Thesis, 2016. http://ndltd.ncl.edu.tw/handle/04058738434636349410.
Повний текст джерела國立交通大學
電子研究所
105
Nowadays, big data becomes one of most popular topics in the world. Analyzing these data needs large amount of memory accessing. For the requests of multi users, the memory need high bandwidth and high density. The power of moving data also needs to be considered in the big data generation. High density 3D-stacked DRAM is the potential solution for the big data storage. By applying the through-silicon-vias (TSVs) technology in 3D-stacked DRAM, the I/O pins between logic tiers and DRAM are at least 32x larger comparing the conventional DRAMs. In order to utilize the 3D- DRAM lager capacity and memory bandwidth, a new advanced 3-D memory controller is needed. In this paper, we present an energy-efficient DRAM controller to fully utilize the benefit offer for 3D-Stacked DRAM. The controller uses command rescheduling and rank interleaving to parallel the commands from multi users, and the self-refresh can save the power for 3D-stacked DRAM refresh. Using near-data processing short the data path of transfer and makes the energy consumption decreased. The controller can realize the bandwidth improvement by 66.8%, and the execution time improvement by 40.08%. The energy consumption also decrease 27.18%.
郭泰均. "Adaptive Prefetching Techniques and Latency Overlapping Scheduling for 3D Wide I/O Memory." Thesis, 2012. http://ndltd.ncl.edu.tw/handle/22076149857838374986.
Повний текст джерела國立交通大學
資訊科學與工程研究所
101
Due to the gap between memory and CPU speed, memory has become a bottleneck in computing systems. Improving memory access latency will improve system performance. As TSV technology matures, chips stacked in different stratums can reduce access latency. However, as SoC development moves towards 3D, it becomes increasingly difficult to evaluate complex systems designs. In this thesis an ESL platform is implemented which can support JEDEC wide I/O interface to evaluate memory performance. The simulator supports multi-threaded modeling and speedups the simulation time. After analyzing address mapping methods and properties of wide I/O, this thesis proposes two mechanisms to improve the performance of 3D architecture. Adaptive-prefetching will analyze memory intensive blocks and reference command queue status to prefetch data and improve RLP. Latency overlapping scheduling executes precharge command by beforehand analyzing TSV bus utilizaion.
Lin, Ting-Huei, and 林挺暉. "A Research for the Basic Instruction of 3D Style on the World Wide Web." Thesis, 1997. http://ndltd.ncl.edu.tw/handle/67483359190047846313.
Повний текст джерела大同工學院
工業設計研究所
85
AbstractFor the design department students, the basic design instruction is most important, and it influence students'' design ability。Under present teaching method, the design concept of the student and educate all come from the guidance of the department from the school. Very few an organisms would and other school alternating current and the works of the student completeness from the lesson teacher with the personal standpoint to adjudicate: like this of mode apparently than is sealing off and also have to owe objective. In addition, painting of student at inform the hour of stereo modeling concept, often look through planar come express, than can''t right of expressive space inside of modeling relation and take a lot of time at manufacture and whole grass molds of then inform the space concept. Present network system very of prosperous, is to obtain and deliver the tool of very convenient information. However, the main purpose of the this research just to hope by the integration of the network system, and blend virtual reality of real - time and with each other to move the characteristic, can fleetness of respond design of design concept. The real - time of inform the correlation of the modeling in the environment of the conjecture, make the teaching environment more comprehensiveness.Basic 3D modeling education emphasize to train in the modeling of two times of dollars and three dollar, it educates at the whole to educate the most basic modeling perception of the student in process, to a student that design department however talk equal importance. Because the fundamental capability of a designer is here phase created. For this reason this research then educate with the foundation stereo modeling course is main range, design one in the network system ascend, and regarding VR as the teaching mode of the Virtual Environment. This system can provide the student differ from tradition teaching method of designing and studying interface, and can search the related modeling theories to make up the tradition teaching to lose.This research completion of network teaching structure. Its inside includes curricular of the study environment of the basic information and teaching unit. Look through experiment come inspect and examine the student during the use condition of the design, at satisfaction degree, accept degree, data search, use time and creativity degree top, all more traditional teaching method is good, experiment result display. By the network support, it will surpass the mode that tradition check the references material on the hunt of the data, and look through the conjecture make environment of lend support to can attain following of achievement. First, student''s design concept of inform the aspect, not because of self-painting of capability however have the restrict. Second, developments of student at proceed design concept and inform the hour, can manufacture in the three dimensions of the conjecture. And inform design the space of the concept directly to relate to, need not the flower take a lot of time in the profile chart of the different visual angle come inform design concept. Third, hours of correction of student at proceed concept, need not again a graphics face to need only direct revise conjecture article, can save a flood of time. Above conclusion lend support to the tradition with the new type teaching system of tuition network to design educational of possibility, advantage and its existent value, and providing other researcher in design education inside the others part of a guide of the application.Keywords:Basic instruction in industrial design、Virtual Reality、Virtual Environment、Network (World Wide Web)。
Chuang, Shang-Chih, and 莊尚智. "Design and Fabrication of High Efficiency LC Panel for High Resolution Wide-view Autostereoscopic 3D Display." Thesis, 2008. http://ndltd.ncl.edu.tw/handle/69403345120265576499.
Повний текст джерела國立清華大學
動力機械工程學系
96
Human see this world stereoscopically, we are trying to reproduce the visual experiences from what we see from the real world. Basic principles of most 3D displays technologies were discovered a hundred years ago, but the 3D displays have not yet been put into mass production due to several problems, such as the low 3D resolution, complicated mechanism, and inconvenience of wearing glasses, etc. Nowadays many researchers are getting interested in 3D displays again because the performance of flat panel display, especially liquid crystal display (LCD) has been largely improved. This mature display technology accompanying with the new-development method can overcome the problem of the previous 3D technology. This research proposes a solution for high efficiency high resolution liquid crystal (LC) panel for autostereoscopic 3D display with wide-view and high 3D resolution. The slanted barrier is applied on a LC panel for sharing the resolution reduction in both horizontal and vertical direction. In order to reach a high resolution with high efficiency, a new design of the LC pixel layout is necessary. The pixel design is based on the slanted barrier. The LC pixel with high directional emission profile can not only improve efficiency but also eliminate some deficiencies that affect the image quality in conventional parallax barrier design. By applying the slanted barrier and the pixel layout, we can overcome the issue of low resolution and serious resolution reduction in horizontal direction on conventional straight barrier design and have a LC panel which is more suitable for the application of high light efficiency parallax barrier type 3D display.
HUANG, YEN-TI, and 黃彥迪. "Research and development of high-speed sintering 3D printing machine with page-wide piezoelectric printhead module." Thesis, 2019. http://ndltd.ncl.edu.tw/handle/gy7g27.
Повний текст джерела國立臺灣科技大學
機械工程系
107
This study is the early research on the development of page-wide piezoelectric printhead module high-speed sintering 3D printing machine. At first, the design and development of the heating process was carried out with a modified single thermal bubble printhead powder bed sintering 3D printing machine. At the same time, the material selection and the properties of the material were analyzed to establish the reaction mechanism and print the finished product. Organize a better printing strategy and apply this experience to machine process development. In addition, the nozzle is assembled into a page-wide module to simplify the printing process becoming single pass to achieve high speed process. Thermoplastic polyurethane, TPU, is widely used in current footwear manufacturing industry. As is a kind of amorphous materials, TPU has no clear melting point, which makes it difficult to define the morphology in the powder bed sintering 3D printing process. In this research, detecting of temperature in different area is used to make products and then calculate the difference between dimensional accuracy and mechanical property as a reference for tuning the process parameters. Finally, printability test of the piezoelectric printhead and ink can be carried out as the basis for material selection and adjustment, and using high speed camera to observe the droplets during printing to determine whether the design of the piezoelectric waveform is appropriate.
(11205843), Ahmed Mohammed Al Otaibi. "Polymer Nanocomposite-Based Wide Band Strain Sensor for 3D Force Measurement Using Piezoelectric and Piezoresistive Data Fusion." Thesis, 2021.
Знайти повний текст джерелаΑντίοχος-Πλεξιδάς, Λουκάς. "Μελέτη και παρουσίαση σύγχρονων πρωτοκόλλων περιγραφής τρισδιάστατης πληροφορίας και υλοποίηση πιλοτικής εφαρμογής για διαδραστική παρουσίασή της σε φυλλομετρητές". Thesis, 2011. http://nemertes.lis.upatras.gr/jspui/handle/10889/4616.
Повний текст джерелаToday there are numerous tools for the construction and configuration of three-dimensional models, each of them uses its own protocol describes the three-dimensional information stored and processed. However, there are few times when the need to transfer content from one tool to another. The above led to the need for commonly accepted protocol describes the three-dimensional information to facilitate management. Of these protocols, the COLLADA seems to prevail because it is open, scalable and ubiquitous. In the present study it and focus on the reasons leading to ever more rapid development in recent operations that have been added. Then, based on this protocol, implemented a pilot application to display and interact with trisdiatata items using modern techniques and technologies for web-dimensional presentation of content. This application is targeted at PC browsers, but may be extended to allow the use of browsers and even the art mobile phones (iPhone). The conclusions arising from the use of this application may result in the creation of a finished product later.
Li, Pei-Yuan, and 李培源. "A Data-Aware Charge-Sharing Based Low Swing TSV Transmission Scheme for 3D IC with Wide I/O." Thesis, 2014. http://ndltd.ncl.edu.tw/handle/62143062165708208404.
Повний текст джерела國立清華大學
電機工程學系
103
With the evolution of MOS technology based on Moore’s Law, we have to face the difficulties on designing and the bottleneck on physics and materials including increasing leakage current, RC delays on wire routing and yield issue. 3D integration has the most potential to solve these problems by using Though Silicon Via (TSV) technology and providing outstanding performance and high density advantage at the same time. However, there are many challenges for TSV-based 3D IC such as bad energy efficiency due to large loading, multi layer addressing and large TSV pitch. Therefore, the capability of achieving low energy efficiency by circuit design for 3D IC is the main target of our works. In the thesis, we propose a Data-Aware Charge-Sharing Low Voltage Transmission Scheme with Asymmetric Sense Amplifier to reduce the power consumption and solve the Vref selecting problems for conventional symmetric sense amplifier. A 1kb I/O macro has been fabricated in 65nm CMOS technology to verify the ideas of this works. The measurement results demonstrate the functionality of this works and the energy efficiency can achieve to 0.12 mw/Gbps.
Yeh, Shang-Fu, and 葉尚府. "The Circuit Implementation and Design Considerations of CMOS Imagers for Wide Dynamic Range and 3D-Integrated CMOS Image Sensor." Thesis, 2014. http://ndltd.ncl.edu.tw/handle/06710895250758445877.
Повний текст джерела國立清華大學
電機工程學系
103
The fast growing demand of thin and compact mobile and wearable devices has driven the efforts to reduce the size of camera module. CMOS image sensor (CIS) with small pixel dimension is an effective solution to implement a small size camera module. The design challenges of CMOS image sensor with small pixel dimension are low dynamic range, low full well capacity (FWC) and low sensitivity. In this thesis, three new techniques are proposed to address the problems. Firstly, a dual-exposure single-capture wide dynamic range CMOS image sensor for mobile devices is proposed. The proposed sensor achieves column-wise highly/lowly-illuminated pixel detection, and only the “adequate” voltage signal (long- or short-exposure signal) is digitized. With an integrated highly/lowly-illuminated pixel detection function in the column-wise single slope ADC, each pixel is read out only once with highly- or lowly-illuminated pixel index for synthesis of a wide DR frame. This approach can dramatically reduce the power dissipation compared to existing multi-frame-readout solutions. The dynamic range expansion ratio is programmable, and depends on the time ratio of long-exposure to short-exposure period. Secondly, a novel single-slope ADC design and operation is proposed to expand full well capacity of CMOS image sensor with small pixel dimension. With the proposed technique, charges stored in the photodiode and floating diffusion of 4T active pixel sensor are all read out and accumulated by the proposed SS ADC to improve the FWC. Only one A/D conversion is required for each pixel, which decreases chip power consumption compared to the general double A/D conversion operation. Finally, because 3D IC is an emerging solution to reduce chip size, a 3D-integrated IV CMOS image sensor layer with built-in self-test function for 3-layer stacking CMOS imager is proposed. A modular CIS sub-array is proposed with new readout and control scheme. The proposed readout structure with in-pixel two-dimensional (2D) decoding function achieves high spatial resolution, without degrading the frame rate. A BIST circuit is also proposed to filter out unqualified CIS layer before chip stacking, improving the yield performance of the final 3D integrated imagers, without adding extra transistor in the pixel. The proposed 3D-integrated CIS layer is very suitable for small size camera module applications.
Shahi, Arash. "Activity-Based Data Fusion for the Automated Progress Tracking of Construction Projects." Thesis, 2012. http://hdl.handle.net/10012/6582.
Повний текст джерелаPilarski, Patrick Michael. "Computational analysis of wide-angle light scattering from single cells." Phd thesis, 2009. http://hdl.handle.net/10048/774.
Повний текст джерелаTitle from PDF file main screen (viewed on Apr. 1, 2010). A thesis submitted to the Faculty of Graduate Studies and Research in partial fulfillment of the requirements for the degree of Doctor of Philosophy, Department of Electrical and Computer Engineering, University of Alberta. Includes bibliographical references.