Literatura científica selecionada sobre o tema "Circuits intégrés – Mesures de sécurité"

Crie uma referência precisa em APA, MLA, Chicago, Harvard, e outros estilos

Selecione um tipo de fonte:

Consulte a lista de atuais artigos, livros, teses, anais de congressos e outras fontes científicas relevantes para o tema "Circuits intégrés – Mesures de sécurité".

Ao lado de cada fonte na lista de referências, há um botão "Adicionar à bibliografia". Clique e geraremos automaticamente a citação bibliográfica do trabalho escolhido no estilo de citação de que você precisa: APA, MLA, Harvard, Chicago, Vancouver, etc.

Você também pode baixar o texto completo da publicação científica em formato .pdf e ler o resumo do trabalho online se estiver presente nos metadados.

Artigos de revistas sobre o assunto "Circuits intégrés – Mesures de sécurité"

1

Dufour, Jean-Luc. "Le statut juridique des bénéficiaires participant aux mesures provinciales de développement de l'employabilité et d'aide à l'emploi : la situation actuelle et la situation à venir". Les Cahiers de droit 37, n.º 1 (12 de abril de 2005): 175–262. http://dx.doi.org/10.7202/043382ar.

Texto completo da fonte
Resumo:
Dans le but de stimuler, en période de récession, la création d'emplois et aussi d'améliorer la qualification des bénéficiaires de la sécurité du revenu, le gouvernement provincial a instauré, il y a quelques années, des mesures permettant le développement de l'employabilité et de l'aide à l'emploi. De nos jours, les diverses mesures gouvernementales tirent leur origine de la Loi sur la sécurité du revenu et de la Loi sur le ministère de la Sécurité du revenu. À cela s'ajoutent des décisions du Conseil du trésor qui établissent les paramètres de fonctionnement des mesures en question. De façon générale, les mesures ont pour but de permettre aux bénéficiaires de prestations de dernier recours d'obtenir de nouvelles compétences professionnelles, d'acquérir une expérience de travail dans le cadre d'un stage « travail-formation » ou encore d'être totalement intégrés, pour une période déterminée, au marché du travail, et ce, par l'entremise d'une subvention accordée par l'État à l'employeur qui engage le prestataire. L'application des mesures gouvernementales dans l'entreprise a donc pour effet de créer une nouvelle forme de rapport de travail. Se posent donc les questions suivantes : Quel est le statut juridique des bénéficiaires participant à ces mesures au regard des différentes lois du travail ? Sommes-nous en présence d'un nouveau type de relation employeur-salarié ? La notion de « salarié », telle que nous l’entendons habituellement dans le droit du travail, est-elle applicable à ces bénéficiaires qui accomplissent du travail dans une entreprise ? L'examen de ces mesures et des différentes lois applicables dans le domaine du travail donne, dans certains cas, des réponses étonnantes. Le présent article constitue donc, dans un premier temps, une analyse de la situation législative actuelle quant au statut juridique des bénéficiaires participant aux mesures de développement de l'employabilité et d'aide à l'emploi et, dans un second temps, une étude comparative entre la situation actuelle et celle à venir au regard des nouvelles dispositions instaurées par la Loi modifiant la Loi sur la sécurité du revenu et d'autres dispositions législatives, notamment l'article 8 qui modifiera en totalité, au moment de son entrée en vigueur, le statut juridique des bénéficiaires utilisant lesdites mesures.
Estilos ABNT, Harvard, Vancouver, APA, etc.
2

-MAROT, Christian. "Avancement des travaux de normalisation IEC47A/WG9 : méthodes de mesures CEM sur circuits intégrés". Revue de l'Electricité et de l'Electronique -, n.º 07 (2000): 33. http://dx.doi.org/10.3845/ree.2000.066.

Texto completo da fonte
Estilos ABNT, Harvard, Vancouver, APA, etc.
3

Tap, H., R. P. Tan, O. Bernal, P.-F. Calmon, C. Rouabhi, C. Capello, P. Bourdeu d'Aguerre, F. Gessinn e M. Respaud. "De la conception à la fabrication de circuits intégrés en technologie CMOS". J3eA 18 (2019): 1019. http://dx.doi.org/10.1051/j3ea/20191019.

Texto completo da fonte
Resumo:
L’objectif de ce projet pédagogique est de proposer à des étudiants de niveau Master ou Ingénieur en Electronique un module complet leur permettant de se familiariser avec la conception et la fabrication de circuits intégrés analogiques répondant spécifiquement à un cahier des charges. L’autonomie et la prise d’initiatives sont favorisées par le mode d’Apprentissage Par Projet (APP). Le projet, d’une durée totale de 9 journées permettra à une équipe constituée de 2 binômes d’étudiants de réaliser un circuit CMOS personnalisé selon un cahier des charges, à partir de la modélisation de la filière technologique NMOS et PMOS accessibles à la centrale technologique de l’Atelier Interuniversitaire de Micro-nano Electronique (AIME) de Toulouse. Ce projet vise à placer les étudiants dans un contexte proche d’une situation en milieu professionnel, où ils doivent concevoir, réaliser et tester une solution répondant à un cahier des charges. A l’issue des tests expérimentaux, les étudiants présenteront leurs résultats au travers d’un rapport écrit et d’une présentation orale. Ils devront analyser les écarts aux cahiers des charges et les écarts entre calculs théoriques/simulation et mesures ; puis proposer les voies et alternatives qui permettraient d’améliorer leurs solutions.
Estilos ABNT, Harvard, Vancouver, APA, etc.
4

DELAUNE, D., C. BIGAILLON, J. L. KOECK e A. MERENS. "Pandémie grippale 2009 dans les armées : l’expérience du biologiste." Revue Médecine et Armées, Volume 40, Numéro 5 (1 de dezembro de 2012): 411–16. http://dx.doi.org/10.17184/eac.6635.

Texto completo da fonte
Resumo:
Au printemps 2009, l’émergence d’un nouveau variant de virus influenzae de type A présentant une morbi-mortalité apparemment élevée au Mexique a suscité la crainte d’une nouvelle pandémie grippale comparable à celle de 1918. Le passage rapide en phase 5 puis 6 d’alerte OMS et la découverte des premiers cas en France a conduit au déploiement de mesures sanitaires au niveau national. L’une de ses composantes était la mise en place d’un diagnostic biologique rapide et sûr. Les laboratoires de biologie des Hôpitaux d’instruction des armées ont rapidement été intégrés au réseau de diagnostic civil en raison de leurs infrastructures de niveau de sécurité P3 et de leurs capacités de diagnostic moléculaire. Cette activité diagnostique a aussi été réalisée au profit des unités et des troupes projetées. La mise en place de nouvelles techniques avec un niveau de biosécurité élevé, la formation du personnel à leur réalisation et leur validation, la gestion de l’afflux massif d’échantillons en sus de l’activité habituelle des laboratoires ont été autant de défis à relever. Si les chiffres de mortalité ont été moins élevés que ceux auxquels on pouvait s’attendre au cours d’une pandémie, la gestion de cet épisode a constitué un test de réactivité des laboratoires face à une situation inattendue et a permis d’évaluer les difficultés à surmonter en pareille situation.
Estilos ABNT, Harvard, Vancouver, APA, etc.
5

Mambou, Jean-Romuald, e Hilaire Elenga. "Erosions, Inondations et Mauvais Drainage des Eaux Pluviales à Brazzaville : Quelles Solutions dans le Cadre d’un Réaménagement Durable de la Ville à l’Horizon 2030 ?" European Scientific Journal, ESJ 19, n.º 20 (31 de julho de 2023): 205. http://dx.doi.org/10.19044/esj.2023.v19n20p205.

Texto completo da fonte
Resumo:
La République du Congo, située dans une zone équatoriale à forte pluviométrie, est, de ce fait, exposée à une grande diversité de risques naturels d’origine essentiellement météorologique ou géologique, en particulier les érosions hydriques, les inondations et le mauvais drainage des eaux pluviales. Ces risques sont devenus un véritable enjeu de politique nationale pour les gouvernants et un drame pour les citoyens qui y sont confrontés chaque année, surtout en période de saison de pluies. Les solutions proposées jusqu’à présent ne sont que des mesures curatives peu efficaces et non durables. Elles portent essentiellement sur le planting des végétaux de l’espèce graminée « Chrysopogon zizanioides », dite « système vétiver ». Un projet, appliquant ce système intitulé «aménagement des bassins versants et stratégies de lutte contre l'érosion hydrique à Brazzaville» a, parmi tant d’autres, été mis en œuvre de 2011 à 2013 par le Gouvernement congolais avec le concours de la FAO. Il visait l’aménagement intégré durable des terres urbaines et périurbaines de Brazzaville au profit d'une amélioration de la sécurité alimentaire et des conditions de vie des populations concernées. Le diagnostic effectué et les hypothèses du projet étaient conformes à cette ambition, mais le choix de ses composantes et sa mise en œuvre ne pouvaient pas donner tous les résultats escomptés. Cela peut s’expliquer par le fait que tous les paramètres de la problématique n’ont pas été intégrés et surtout le projet était à vision courte, donc les solutions proposées ne pouvaient être que d’urgence. Or, ces risques ne peuvent trouver leurs solutions que dans une gouvernance urbaine assise sur une prise de décision centrée sur deux principes : l'acception performative (« la ville doit aller vers une meilleure gouvernance ») et l'acception critique (« les modalités de la gouvernance sont un impensé qui devrait être discuté pour éviter une dépossession des citoyens ») ; tout cela, en ce qui concerne Brazzaville, dans une vision stratégique globale et prospective d’un territoire urbain plus large. C’est l’objet de notre article qui propose la régénération et le réaménagement de Brazzaville à l’horizon 2030 à travers la mise en place d’un grand projet politique sur la ville. La démarche méthodologique s’articule en trois temps. Tout d’abord, un diagnostic est réalisé afin de caractériser les problèmes de la ville (érosions, inondations, mauvais drainage des eaux pluviales, mauvaise exploitation des rivières urbaines) en s’appuyant sur une recherche documentaire sélective et l’exploitation de l’enquête de terrain réalisée en 2017 par l’Observatoire Urbain de Brazzaville sur la situation des érosions à Brazzaville. Ensuite, en fonction des résultats de ce diagnostic, nous avons proposé des opérations d’aménagement participatives consistant à : (i) intégrer le fleuve Congo dans la ville par la construction d’un canal urbain navigable permettant, entre autres, de faire disparaître les grandes érosions de type I et II identifiées, (ii) aménager la ville en vue de la maîtrise de l’étalement urbain incontrôlé, la restructuration des quartiers précaires et la mise en défens des constructions dans les zones non-aedificandi, (iii) proposer un type d’habitat moderne à faible coût pour remplacer les habitations insalubres et (iv) faire de Brazzaville une ville prospère, durable, attractive et résiliente aux risques liés au climat. Nous avons terminé notre article en suggérant des outils à ce grand projet urbain politique d’être opérationnel, pratique et consensuel. The Republic of Congo, located in an equatorial zone with high rainfall, is therefore exposed to a wide variety of natural risks of essentially meteorological or geological origin, in particular water erosion, flooding, and poor drainage of rainwater. These risks have become a real national policy issue for governments and a tragedy for citizens who are confronted with them every year, especially during the rainy season. The solutions proposed so far are only ineffective and unsustainable curative measures. They relate essentially to the planting of plants of the grass species “Chrysopogon zizanoides”, known as the “vetiver system”. A project, applying this system entitled “Development of watersheds and strategies for the fight against water erosion in Brazzaville”, has, among many others, been implemented from 2011 to 2013 by the Congolese government with the assistance of the Food and Agriculture Oganization of the United Nations, FAO. It aimed at the sustainable integrated development of urban and peri-urban land in Brazzaville for the benefit of improving food security and the living conditions of the populations concerned. The diagnosis carried out and the assumptions of the project were in line with this ambition, but the choice of its components and its implementation could not give all the expected results. This can be explained by the fact that all the parameters of the problem have not been integrated and above all, the project was short-sighted, so the solutions proposed could only be urgent. However, these risks can only find their solutions in urban governance based on decision-marking centered on two principles: performative acceptance (“the city must move towards better governance”) and critical acceptance (“the modalities of governance are one unthought which should be discussed to avoid a dispossession of the citizens”). All this, as far as Brazzaville is concerned, is in a global and prospective strategic vision of a wider urban territory. This is the subject of our article which proposes the regeneration and redevelopment of Brazzaville by 2030 through the implementation of a major political project for the city. The methodological approach is articulated in stages. First, a diagnosis is made in order to characterize the problems of the city (erosions, floods, poor drainage of rainwater, poor exploitation of urban rivers) based on selective documentary research and the exploitation of the field survey carried out in 2017 by the urban observatory of Brazzaville on the situation of erosions in Brazzaville. Then, based on the results of this diagnosis, we proposed participatory development operations consisting of (i) integrating the Congo River into the city through the construction of a navigable urban canal allowing among other things, to eliminate particularly the tall identified type I and II erosions; (ii) develop the city with a view to controlling uncontrolled urban sprawl, restructuring precarious neighborhoods and prohibiting building in non-built-up areas; (iii) proposing a type of modern housing at moderate cost to replace unsanitary dwellings, and (iv) make Brazzaville a prosperous, sustainable, attractive and resilient city to climate-related risks. We ended our article by suggesting tools that should allow this great political urban project to be operational, practical, and consensual.
Estilos ABNT, Harvard, Vancouver, APA, etc.

Teses / dissertações sobre o assunto "Circuits intégrés – Mesures de sécurité"

1

Cioranesco, Jean-Michel. "Nouvelles Contre-Mesures pour la Protection de Circuits Intégrés". Thesis, Paris 1, 2014. http://www.theses.fr/2014PA010022/document.

Texto completo da fonte
Resumo:
Les domaines d'application de la cryptographie embarquée sont très divers et se retrouvent au croisement de toutes les applications personnelles, avec un besoin évident de confidentialité des données et également de sécurité d'accès des moyens de paiement. Les attaques matérielles invasives ont fait de tous temps partie de l'environnement industriel. L'objectif de cette thèse est de proposer de nouvelles solutions pour protéger les circuits intégrés contre ces attaques physiques. La première partie décrit les notions d'attaques par canaux cachés, d'attaques invasives et de retro-conception. Plusieurs exemples de ces types d'attaques ont pu être mis en œuvre pendant le travail de recherche de cette thèse, ils sont présentés en détail dans cette partie. La deuxième partie est consacrée à des propositions de différentes contre-mesures pour contrer des attaques par canaux cachés ayant pour vecteur la consommation de courant. La troisième partie est dédiée à la protection contre les attaques invasives en utilisant divers types de boucliers et capteurs. Nous conclurons ce manuscrit de thèse par la proposition d'un bouclier actif cryptographique inviolable ayant pour but premier de contrer Je sondage, mais aussi celui de détecter l'injection de fautes et d'être immunisé contre les analyses par consommation de courant
Embedded security applications are diverse and at the center of all personal embedded applications. They introduced an obvious need for data confidentiality and security in general. Invasive attacks on hardware have always been part of the industrial scene. The aim of this thesis is to propose new solutions in order to protect embedded circuits against some physical attacks described above. ln a first part of the manuscript, we detail the techniques used to achieve side-channel, invasive attacks and reverse engineering. I could implement several of these attacks during my thesis research, they will be detailed extensively. ln the second part we propose different hardware countermeasures against side-channel attacks. The third part is dedicated to protection strategies against invasive attacks using active shielding and we conclude this work by proposing an innovative cryptographic shield which is faulty and dpa resistant
Estilos ABNT, Harvard, Vancouver, APA, etc.
2

Germain, Fabien. "Sécurité cryptographique par la conception spécifique de circuits intégrés". Phd thesis, Ecole Polytechnique X, 2006. http://pastel.archives-ouvertes.fr/pastel-00001858.

Texto completo da fonte
Resumo:
L'analyse différentielle de consommation (notée DPA pour Differential Power Analysis) est une puissante attaque non intrusive par canal auxilliaire dont l'objectif est de retrouver des informations secrètes contenues dans des circuits intégrés en exploitant la consommation globale. Des clés de chiffrement peuvent alors être découvertes pendant l'exécution d'algorithmes cryptographiques. L'objet de cette thèse est de proposer une contre-mesure véritablement efficace basée sur la conception de portes logiques intrinsèquement résistantes à la DPA indépendamment des états logiques et électriques passés, présents et futurs. Il est alors théoriquement possible de concevoir des circuits intégrés résistants à l'attaque DPA. La contre-mesure proposée repose sur des bases microélectroniques précises qui permettent d'expliciter les sources de la DPA. La solution s'appuie sur la conception CMOS (Complementary Metal Oxide Silicon) de circuits intégrés réalisant des algorithmes cryptographiques tels que l'AES (Advanced Encryption Standard).
Estilos ABNT, Harvard, Vancouver, APA, etc.
3

Dumont, Mathieu. "Modélisation de l’injection de faute électromagnétique sur circuits intégrés sécurisés et contre-mesures". Thesis, Montpellier, 2020. http://www.theses.fr/2020MONTS031.

Texto completo da fonte
Resumo:
Cette thèse est dédiée à l’étude des attaques par injection de faute électromagnétique dans les circuits intégrés sécurisés. De premiers travaux de modélisation électrique ont permis de simuler le couplage entre une sonde d’injection électromagnétique et les grilles d’alimentation et de masse du circuit afin de mieux comprendre les effets de l’impulsion EM. Cette modélisation a ensuite été appliquée à une simulation de circuit logique comprenant une bascule D et ses composants. Les résultats de ces simulations ont permis de déterminer les différentes fautes pouvant être induites par ce type d’attaque et d’en expliquer leur formation. Des mesures sur un circuit de test ont mis en évidence l’apparition de fautes de timing et de fautes d’échantillonnage, ainsi que de valider le modèle expérimentalement. Enfin, des contre-mesures issues du modèle développé sont proposées, afin d’augmenter la robustesse d’un circuit face à une attaque par injection de faute électromagnétique
This thesis is devoted to the study of electromagnetic fault injection attack on se-cure integrated circuits. Electrical modeling permits to simulate the coupling between an EM probe injection and the circuit supply and ground grids in order to understand the effect of the EM pulse. This modeling is then applied on a logic circuit simulation with a D flip-flop and its components. The simulation results were used to determine the various faults that could be induced by this attack and to explain their formation. Measurements on a test circuit revealed the appearance of timing and sampling faults and validated ex-perimentally the proposed model. Finally, some countermeasures based on the model are proposed in order to increase the robustness of a circuit against electromagnetic fault in-jection
Estilos ABNT, Harvard, Vancouver, APA, etc.
4

Hély, David. "Conception en vue du test de circuits sécurisés". Montpellier 2, 2005. http://www.theses.fr/2005MON20123.

Texto completo da fonte
Estilos ABNT, Harvard, Vancouver, APA, etc.
5

Dehbaoui, Amine. "Analyse Sécuritaire des Émanations Électromagnétiques des Circuits Intégrés". Thesis, Montpellier 2, 2011. http://www.theses.fr/2011MON20020.

Texto completo da fonte
Resumo:
Le développement de la société de l'information et de la monnaie virtuelle, a soulevé de nouveaux problèmes aux communautés de la sécurité et du circuit intégré, faisant devenir la cryptologie un outil incontournable permettant de répondre aux exigences sécuritaires telles que l'identification, l'authentification ou la confidentialité. L'intégration des primitives cryptographiques dans différents dispositifs électroniques est largement répandue aujourd'hui dans le domaine des communications, des services financiers, des services gouvernementaux ou de la PayTV. Au premier rang de ces dispositifs, figure la carte à puce. D'après un rapport publié en août 2010, IMS Research prévoit que le marché de la carte à puce atteindra les 5.8 milliards d'unités vendues en fin d'année. La grande majorité est utilisée dans les télécommunications (carte SIM) et les services bancaires. La carte à puce incorpore un circuit intégré qui peut être, soit un processeur dédié aux calculs cryptographiques, soit seulement de la mémoire non-volatile ou les deux. Ces circuits intégrés manipulent et contiennent donc des secrets comme les clefs secrètes ou privées utilisées par les algorithmes de cryptographie symétriques ou asymétriques. Ces clefs doivent donc, rester absolument confidentielles et intègres afin de garantir la chaîne de sécurité. Par conséquent la robustesse des cartes à puces aux attaques cryptographiques est cruciale. En effet, les attaques sur les circuits intégrés sont aujourd'hui très performantes. Elles peuvent être classées selon trois grandes familles : invasives, semi-invasives et non-invasives. 1- Les attaques invasives sont des attaques menées en général par des experts et requièrent du matériel spécifique. 2- Les attaques semi-invasives, famille d'attaques récemment introduite par l'équipe de Ross Anderson, dont le principe est de décapsuler le package contenant le circuit, afin de se positionner le plus proche possible de la surface, sans pour autant en détériorer les fonctionnalités. 3- Les attaques non-invasives ne nécessitent aucune préparation préalable du dispositif soumis aux attaques. Elles consistent à espionner les phénomènes physiques engendrés par la manipulation des données et notamment les clefs secrètes. Les attaques non-invasives peuvent être considérées comme les plus dangereuses, dans la mesure où ce type d'attaque peut être réalisé sans contact avec le circuit. En effet, pendant l'utilisation d'appareils électroniques, les circuits qui les composent sont soumis à des variations de courant et de tension. Ces variations génèrent des ondes électromagnétiques qui se propagent dans le voisinage du circuit. Ces émanations présentent une corrélation avec des informations censées être stockées dans la puce de façon sécurisée (exemple: la clef secrète d'une carte bancaire utilisée pour l'authentification). Plusieurs attaques dites par canaux auxiliaires, et basées sur ces fuites électromagnétiques ont été publiées par la communauté scientifique ces dernières années. Cette thèse a pour objectifs: (a) comprendre les différentes sources des émanations électromagnétiques des circuits intégrés, et de proposer un flot d'attaque électromagnétique localisée et en champ proche afin de tester la robustesse d'un circuit cryptographique contre les attaques et analyses utilisant le canal électromagnétique, et (b) proposer des contre-mesures afin de contrecarrer ces attaques par analyse de champ électromagnétique. Afin d'atteindre ces objectifs, nous présentons, dans un premier temps, une technique efficace nommée WGMSI (Weighted Global Magnitude Squared Incoherence) pour localiser les positions, au-dessus du circuit cryptographique, qui génèrent les émanations électromagnétiques les plus dépendantes des données secrètes. Dans un deuxième temps la WGMSI est utilisée aussi pour améliorer la stabilité et la convergence des différentes attaques électromagnétiques proposées dans la littérature. La suite de la thèse décrit les différentes contre-mesures aux attaques par canaux auxiliaires. En effet, face à ces techniques d'attaques évoluées, il est primordial, de rendre les fonctions cryptographiques implantées dans les circuits intégrés pour la sécurité (confidentialité, authentification, intégrité ... ), inattaquables en un temps raisonnable et ceci même en manipulant des sous-clefs dans des chiffrements par blocs. Pour cela, on se focalisera principalement aux contre-mesures basées sur des logiques différentielles et dynamiques. Ces contre-mesures sont dites par conception, puisqu'elles se situent au niveau des portes logiques qui sont considérées comme les éléments de base pour la conception d'un circuit intégré. Ceci permet une certaine indépendance des algorithmes cryptographiques vis à vis de l'architecture ou de la technologie considérées. Parmi les différentes logiques différentielles et dynamiques, on s'intéressera plus spécifiquement à la logique STTL (Secure Triple Track logic) qui peut être considérée comme une amélioration de la logique double rail, dans la mesure où un troisième rail est ajouté afin de contrecarrer la faiblesse principale de la logique double rail, à savoir l'évaluation anticipée. Enfin, nous présenterons un flot d'implémentation sur FPGA de la logique STTL prouvée robuste aux attaques par analyse de courant, et nous implémenterons un prototype de DES STTL afin de tester sa robustesse aux attaques électromagnétiques localisées en champ proche
The integration of cryptographic primitives in different electronic devices is widely used today incommunications, financial services, government services or PayTV.Foremost among these devices include the smart card. According to a report published in August 2010, IMS Research forecasts that the smart card market will reach 5.8 billion units sold in this year. The vast majority is used in telecommunications (SIM) and banking.The smart card incorporates an integrated circuit which can be a dedicated processor for cryptographic calculations. Therefore, these integrated circuits contain secrets such as secret or private keys used by the symmetric or asymmetric cryptographic algorithms. These keys must remain absolutely confidential to ensure the safety chain.Therefore the robustness of smart cards against attacks is crucial. These attacks can be classifiedinto three main categories: invasive, semi-invasive and non-invasive.Non-invasive attacks can be considered the most dangerous, since this kind of attack can be achieved without any contact with the circuit.Indeed, while using electronic circuits that compose them are subjected to variations in current and voltage. These variations generate an electromagnetic radiation propagating in the vicinity of the circuit.These radiations are correlated with secret information (eg a secret key used for authentication). Several attacks based on these leakages were published by the scientific community.This thesis aims to: (a) understand the different sources of electromagnetic emanations of integrated circuits, and propose a localized near field attack to test the robustness of a cryptographic circuit and (b) propose counter-measures to these attacks
Estilos ABNT, Harvard, Vancouver, APA, etc.
6

Joaquim, da Rolt Jean. "Testabilité versus Sécurité : Nouvelles attaques par chaîne de scan & contremesures". Thesis, Montpellier 2, 2012. http://www.theses.fr/2012MON20168.

Texto completo da fonte
Resumo:
Dans cette thèse, nous analysons les vulnérabilités introduites par les infrastructures de test, comme les chaines de scan, utilisées dans les circuits intégrés digitaux dédiés à la cryptographie sur la sécurité d'un système. Nous développons de nouvelles attaques utilisant ces infrastructures et proposons des contre-mesures efficaces. L'insertion des chaînes de scan est la technique la plus utilisée pour assurer la testabilité des circuits numériques car elle permet d'obtenir d'excellents taux de couverture de fautes. Toutefois, pour les circuits intégrés à vocation cryptographique, les chaînes de scan peuvent être utilisées comme une porte dérobée pour accéder à des données secrètes, devenant ainsi une menace pour la sécurité de ces données. Nous commençons par décrire une série de nouvelles attaques qui exploitent les fuites d'informations sur des structures avancées de conception en vue du test telles que le compacteur de réponses, le masquage de valeur inconnues ou le scan partiel, par exemple. Au travers des attaques que nous proposons, nous montrons que ces structures ne protégent en rien les circuits à l'inverse de ce que certains travaux antérieurs ont prétendu. En ce qui concerne les contre-mesures, nous proposons trois nouvelles solutions. La première consiste à déplacer la comparaison entre réponses aux stimuli de test et réponses attenduesde l'équipement de test automatique vers le circuit lui-même. Cette solution entraine un surcoût de silicium négligeable, n'aucun impact sur la couverture de fautes. La deuxième contre-mesure viseà protéger le circuit contre tout accès non autorisé, par exemple au mode test du circuit, et d'assurer l'authentification du circuit. A cet effet, l'authentification mutuelle utilisant le protocole de Schnorr basé sur les courbes elliptiques est mis en oeuvre. Enfin, nous montronsque les contre-mesures algorithmiques agissant contre l'analyse différentielle peuvent être également utilisées pour se prémunir contre les attaques par chaine de scan. Parmi celles-ci on citera en particulier le masquage de point et le masquage de scalaire
In this thesis, we firstly analyze the vulnerabilities induced by test infrastructures onto embedded secrecy in digital integrated circuits dedicated to cryptography. Then we propose new scan-based attacks and effective countermeasures. Scan chains insertion is the most used technique to ensure the testability of digital cores, providing high-fault coverage. However, for ICs dealing with secret information, scan chains can be used as back doors for accessing secret data, thus becominga threat to device's security. We start by describing a series of new attacks that exploit information leakage out of advanced Design-for-Testability structures such as response compaction, X-Masking and partial scan. Conversely to some previous works that proposed that these structures are immune to scan-based attacks, we show that our new attacks can reveal secret information that is embedded inside the chip boundaries. Regarding the countermeasures, we propose three new solutions. The first one moves the comparison between test responses and expected responses from the AutomaticTest Equipment to the chip. This solution has a negligible area overhead, no effect on fault coverage. The second countermeasure aims to protect the circuit against unauthorized access, for instance to the test mode, and also ensure the authentication of the circuit. For thatpurpose, mutual-authentication using Schnorr protocol on Elliptic Curves is implemented. As the last countermeasure, we propose that Differential Analysis Attacks algorithm-level countermeasures, suchas point-blinding and scalar-blinding can be reused to protect the circuit against scan-based attacks
Estilos ABNT, Harvard, Vancouver, APA, etc.
7

Vaquié, Bruno. "Contributions à la sécurité des circuits intégrés face aux attaques par canaux auxiliaires". Thesis, Montpellier 2, 2012. http://www.theses.fr/2012MON20133/document.

Texto completo da fonte
Resumo:
Les attaques par canaux cachés telles que les attaques par analyse de la consommation sont une menace pour la sécurité des circuits intégrés. Elles exploitent les fuites physiques émises par les circuits lors des calculs cryptographiques pour récupérer les informations secrètes qu'ils contiennent. De nombreuses contremesures, notamment matérielles, ont donc été proposées par la communauté dans le but de protéger les crypto-systèmes contre ce type d'attaques. Malgré leur efficacité, leur inconvénient majeur est leur surcoût important en surface, vitesse et consommation. Cette thèse a pour objectif de proposer des contremesures avec un faible coût au niveau matériel visant à réduire ces fuites et offrant un bon compromis entre sécurité et surcoûts. Pour cela, nous identifions tout d'abord les principales sources de fuites d'un crypto-système intégrant une architecture matérielle itérative d'un algorithme symétrique. Puis nous proposons plusieurs contremesures, à faible coût matériel, qui visent à réduire ces fuites. Enfin, nous évaluerons la robustesse de nos solutions face aux attaques par canaux cachés
Side channel attacks such as power analysis attacks are a threat to the security of integrated circuits.They exploit the physical leakage of circuits during the cryptographic computations to retrieve the secret informations they contain. Many countermeasures, including hardware, have been proposed by the community in order to protect cryptosystems against such attacks. Despite their effectiveness, their major drawback is their significant additional cost in area, speed and consumption. This thesis aims at proposing low cost countermeasures able to reduce the leaks and offering a good compromise between security and costs. First we identify the main sources of leakage of a cryptographic system that integrates an iterative hardware architecture of a symetric algorithm. Then we propose several low cost countermeasures, which aim at reducing this leakage. Finally, we evaluate the robustness of our solutions against side channel attacks
Estilos ABNT, Harvard, Vancouver, APA, etc.
8

Razafindraibe, Hanitriniaina Mamitiana Alin. "Analyse et amélioration de la logique double rail pour la conception de circuits sécurisés". Montpellier 2, 2006. http://www.theses.fr/2006MON20117.

Texto completo da fonte
Resumo:
Dans le domaine de la conception de circuits sécurisés (cartes à puce) et plus particulièrement des circuits robustes aux attaques différentielles en puissance (DPA), la logique double rail apparaît comme une alternative intéressante à la logique statique CMOS. En effet, le codage associé à ce style de logique offre la possibilité d'équilibrer la consommation rendant ainsi impossible les attaques DPA. Partant de ce constat, dans cette thèse, nous nous sommes focalisés sur l’analyse des atouts et faiblesses de la logique double rail et surtout à son amélioration. Dans un premier temps, nous avons montré qu'un circuit double rail est nettement plus résistant aux attaques DPA que son homologue simple rail. Dans un deuxième temps, après une étude approfondie de l'impact de la synthèse physique sur la robustesse de la logique double rail, nous avons abouti à la conclusion qu'en présence de déséquilibres des capacités de charge, des temps de transition et des temps d'arrivée, les circuits double rail peuvent perdre leur avantage et devenir vulnérables aux attaques DPA. Cette étude a permis de définir quelques métriques de robustesse aux attaques DPA à partir desquelles nous avons clairement établi qu'une cellule double rail n'est robuste que si les signaux la contrôlant arrivent tous dans un intervalle de temps particulièrement réduit. Afin d’éliminer cette faiblesse résiduelle de la logique double rail, nous avons finalement proposé une amélioration simple mais efficace de la logique double rail. La logique résultante a été appelée STTL (Secured Triple Track Logic). La mise en œuvre de cette logique a permis de montrer que la logique STTL permet d’obtenir des circuits dont les temps de calcul et la consommation sont indépendants des données
Estilos ABNT, Harvard, Vancouver, APA, etc.
9

Clavier, Christophe. "De la sécurité physique des crypto-systèmes embarqués". Versailles-St Quentin en Yvelines, 2007. http://www.theses.fr/2007VERS0028.

Texto completo da fonte
Resumo:
Dans un monde défiant, l'augmentation du nombre et de la diversité des applications numériques ont rendu nécessaire l'existence d'un objet pratique intégrant les fonctions cryptographiques requises pour les besoins quotidiens de sécurité des transactions, de confidentialité des échanges, d'identification du porteur ou encore d'authentification pour l'accès à un service. Parmi les dispositifs cryptographiques embarqués aptes à proposer ces fonctionnalités, la carte à puce est certainement le plus utilisé de nos jours. Sa portabilité (un porte-feuille peut en contenir une dizaine) et sa capacité à protéger les données et programmes qu'elle contient contre les attaques intrusives, lui confèrent naturellement sa fonction essentielle de ``bunker'' pour le stockage de clés et l'exécution d'algorithmes cryptographiques dans les usages mobiles nécessitant un haut degré de sécurité. Évidemment nécessaire, la conception de schémas cryptographiques mathématiquement robustes, voire prouvés sûrs dans certains modèles, s'est malgré tout révélée insuffisante depuis la publication en 1996 des premières attaques physiques. Exploitant des vulnérabilités liées à la mise en oeuvre concrète des routines de sécurité et à leur implémentation, ces menaces comprennent l'analyse de canaux auxiliaires permettant d'obtenir de l'information sur l'état interne d'un processus, et l'exploitation de fautes provoquées ouvrant la voie à certaines cryptanalyses autrement impossibles. Cette thèse présente une série de travaux de recherche dans le domaine de la sécurité physique des crypto-systèmes embarqués. Deux parties de ce document sont consacrées à la description de certaines attaques et à l'étude de l'efficacité de possibles contre-mesures. Une troisième partie aborde le domaine particulier, et encore très peu exploré, de l'applicabilité des attaques physiques dans le cas où la fonction cryptographique considérée est en grande partie, voire totalement, inconnue de l'adversaire
In a world full of threats, the development of widespread digital applications has led to the need for a practical device containing cryptographic functions that provide the everyday needs for secure transactions, confidentiality of communications, identification of the subject or authentication for access to a particular service. Among the cryptographic embedded devices ensuring these functionalities, smart cards are certainly the most widely used. Their portability (a wallet may easily contain a dozen) and their ability to protect its data and programs against intruders, make it as the ideal ``bunker'' for key storage and the execution of cryptographic functions during mobile usage requiring a high level of security. Whilst the design of mathematically robust (or even proven secure in some models) cryptographic schemes is an obvious requirement, it is apparently insufficient in the light of the first physical attacks that were published in 1996. Taking advantage of weaknesses related to the basic implementation of security routines, these threats include side-channel analysis which obtains information about the internal state of the process, and the exploitation of induced faults allowing certain cryptanalysis to be performed which otherwise would not have been possible. This thesis presents a series of research works covering the physical security of embedded cryptosystems. Two parts of this document are dedicated to the description of some attacks and to a study of the efficiency of conceivable countermeasures. A third part deals with that particular and still mainly unexplored area which considers the applicability of physical attacks when the cryptographic function is, partly or totally, unknown by the adversary
Estilos ABNT, Harvard, Vancouver, APA, etc.
10

Acunha, guimarães Leonel. "Techniques de Test Pour la Détection de Chevaux de Troie Matériels en Circuits Intégrés de Systèmes Sécurisés". Thesis, Université Grenoble Alpes (ComUE), 2017. http://www.theses.fr/2017GREAT080/document.

Texto completo da fonte
Resumo:
La mondialisation et la déverticalisation des métiers du semi-conducteur a mené cette industrie à sous-traiter certaines étapes de conception et souvent la totalité de la fabrication. Au cours de ces étapes, les circuits intégrés (CIs) sont vulnérables à des altérations malignes : les chevaux de Troie matériels (HTs). Dans les applications sécuritaires, il est important de garantir que les circuits intégrés utilisés ne soient pas altérés par de tels dispositifs. Afin d'offrir un niveau de confiance élevé dans ces circuits, il est nécessaire de développer de nouvelles techniques de test pour détecter les HTs, aussi légers et furtifs soient-ils. Cette thèse étudie les menaces et propose deux approches originales de test post-fabrication pour détecter des HTs implantés après synthèse. La première technique exploite des capteurs de courant incorporés au substrat (BBICS), originalement conçus pour identifier les défauts transitoires dans les CIs. Dans notre cas, ils fournissent une signature numérique obtenue par analyse statistique permettant de détecter tout éventuel HT, même au niveau dopant. La deuxième proposition est une méthode non intrusive pour détecter les HTs dans les circuits asynchrones. Cette technique utilise la plateforme de test du circuit et ne requiert aucun matériel supplémentaire. Elle permet la détection de HTs dont la surface est inférieure à 1% de celle du circuit. Les méthodes et les techniques-,- mises au point dans cette thèse-,- contribuent donc à réduire la vulnérabilité des CIs aux HTs soit par adjonction d'un capteur (BBICS), soit en exploitant les mécanismes de test s'il s'agit de circuits asynchrones
The world globalization has led the semiconductor industry to outsource design and fabrication phases, making integrated circuits (ICs) potentially more vulnerable to malicious modifications at design or fabrication time: the hardware Trojans (HTs). New efficient testing techniques are thus required to disclose potential slight and stealth HTs, and to ensure trusted devices. This thesis studies possible threats and proposes two new post-silicon testing techniques able to detect HTs implanted after the generation of the IC netlist. The first proposed technique exploits bulk built-in current sensors (BBICS) -- which are originally designed to identify transient faults in ICs -- by using them as testing mechanisms that provide statistically-comparable digital signatures of the devices under test. With only 16 IC samples, the testing technique can detect dopant-level Trojans of zero-area overhead. The second proposition is a non-intrusive technique for detection of gate-level HTs in asynchronous circuits. With this technique, neither additional hardware nor alterations on the original test set-up are required to detect Trojans smaller than 1% of the original circuit. The studies and techniques devised in this thesis contribute to reduce the IC vulnerability to HT, reusing testing mechanisms and keeping security features of original devices
Estilos ABNT, Harvard, Vancouver, APA, etc.

Livros sobre o assunto "Circuits intégrés – Mesures de sécurité"

1

A, Bolmen Richard, ed. Semiconductor safety handbook: Safety and health in the semiconductor industry. Westwood, N.J: Noyes Publications, 1998.

Encontre o texto completo da fonte
Estilos ABNT, Harvard, Vancouver, APA, etc.
2

Ronald, Kitchen, ed. RF and microwave radiation safety handbook. 2a ed. Oxford: Newnes, 2001.

Encontre o texto completo da fonte
Estilos ABNT, Harvard, Vancouver, APA, etc.
3

Bolmen, Richard A. Semiconductor Safety Handbook: Safety and Health in the Semiconductor Industry. Elsevier Science & Technology Books, 1998.

Encontre o texto completo da fonte
Estilos ABNT, Harvard, Vancouver, APA, etc.
4

Bolmen, Richard A. Semiconductor Safety Handbook: Safety and Health in the Semiconductor Industry (Semiconductor Safety Series). 2a ed. Noyes Publications, 1998.

Encontre o texto completo da fonte
Estilos ABNT, Harvard, Vancouver, APA, etc.
5

Winburn. Practical Laser Safety. Taylor & Francis Group, 2017.

Encontre o texto completo da fonte
Estilos ABNT, Harvard, Vancouver, APA, etc.
6

Winburn. Practical Laser Safety. Taylor & Francis Group, 2017.

Encontre o texto completo da fonte
Estilos ABNT, Harvard, Vancouver, APA, etc.
7

Winburn. Practical Laser Safety. Taylor & Francis Group, 2019.

Encontre o texto completo da fonte
Estilos ABNT, Harvard, Vancouver, APA, etc.
8

Hardware Security: Design, Threats, and Safeguards. Taylor & Francis Group, 2014.

Encontre o texto completo da fonte
Estilos ABNT, Harvard, Vancouver, APA, etc.
9

Hardware Security. Taylor & Francis Group, 2014.

Encontre o texto completo da fonte
Estilos ABNT, Harvard, Vancouver, APA, etc.
10

VLSI and Post-CMOS Electronics: Devices, Circuits and Interconnects. Institution of Engineering & Technology, 2019.

Encontre o texto completo da fonte
Estilos ABNT, Harvard, Vancouver, APA, etc.
Oferecemos descontos em todos os planos premium para autores cujas obras estão incluídas em seleções literárias temáticas. Contate-nos para obter um código promocional único!

Vá para a bibliografia