Rozprawy doktorskie na temat „Wet etching”

Kliknij ten link, aby zobaczyć inne rodzaje publikacji na ten temat: Wet etching.

Utwórz poprawne odniesienie w stylach APA, MLA, Chicago, Harvard i wielu innych

Wybierz rodzaj źródła:

Sprawdź 50 najlepszych rozpraw doktorskich naukowych na temat „Wet etching”.

Przycisk „Dodaj do bibliografii” jest dostępny obok każdej pracy w bibliografii. Użyj go – a my automatycznie utworzymy odniesienie bibliograficzne do wybranej pracy w stylu cytowania, którego potrzebujesz: APA, MLA, Harvard, Chicago, Vancouver itp.

Możesz również pobrać pełny tekst publikacji naukowej w formacie „.pdf” i przeczytać adnotację do pracy online, jeśli odpowiednie parametry są dostępne w metadanych.

Przeglądaj rozprawy doktorskie z różnych dziedzin i twórz odpowiednie bibliografie.

1

Edström, Curt. "Wet etching of optical thin films". Thesis, Tekniska Högskolan, Högskolan i Jönköping, JTH, Kemiteknik, 2010. http://urn.kb.se/resolve?urn=urn:nbn:se:hj:diva-13988.

Pełny tekst źródła
Streszczenie:
Evaluation of the wet etching properties of several different thin film oxidesgrown by physical vapour deposition was performed in this work. MgO, Al2O3,SiO2, TiO2, HfO2 ZrO2 and Y2O3 were coated on two types of substrates; Si andborosilicate glass and etching tests were performed in different etchingsolutions. MgF2 thin films have also been evaluated. Important aspects of the choice of the thin films was taken into account in orderto match to good optical properties such as refractive index (n), extinction coefficient (k) and optical thickness (TP) as well as good chemical properties in the wet etching process. A description is made of the physics of optical filters and how a combination of different oxides stacked onto each other can create interference filters. A description of the manufacturing process of the thin films where physical vapour deposition (PVD) was used is presented. Thermal shift of the optical spectra caused by porous coatings was investigated and analyses of the thin films by ellipsometry, surface profilometry and transmission spectrophotometry have been performed. The wet etching properties were evaluated by monitoring the transmission insituon transparent borosilicate glass substrates. A method of how to measure the wet etching rate for different thin films is described. A computer software was used to calculate the Pourbaix diagrams in order to understand the chemical behaviour of the etching solutions. The pH can have a significant impact on the etching behaviour. In case of TiO2, it can be dissolved in an alkaline solution of H2O2. The catalytically process behind this is evaluated. Etching rate for both Y2O3 andSiO2 were matched by adjusting the etchant concentration as a case example. The group IVB oxides are difficult to etch. The catalytic etching of TiO2 with peroxide is slow but detectable. Al2O3, Y2O3 and MgO are reasonably easy to etch but have too low refractive indices to be useful in multilayer optical filters. The In-situ etching instrument was found to be very useful for measuring etching rates.
Utvärdering av våtkemiska egenskaper för flera olika oxidtunnfilmer utfördes idetta arbete på tunnfilmer av MgO, Al2O3, SiO2, TiO2, HfO2 ZrO2 and Y2O3 vakuumdeponerade på både kiselwafers och borosilikatglas. Etstester gjordes med ett flertal etslösningar. Även MgF2-tunnfilmer utvärderades. Både optiska och kemiska egenskaper togs i beaktande vid utvärderingen av tunnfilmerna. De optiska lagar som gäller för tunnfilmer redovisas, bl a hur kombinationer av olika oxider kan skapa interferrensfilter. En beskrivning av tillverkningsprocessen varvid PVD användes presenteras. Termiskt skift av det optiska transmissionsspektrat orsakat av porositet undersöktes. Analyser av tunnfilmerna med ellipsometri, profilometri och transmissions spektroskopi utfördes. Våtetsningsegenskaperna utvärderades genom att mäta in-situ vid etsprocessen på transparenta borosilikatglassubstrat. Metoden för att mäta etshastigheten för olika oxider är beskriven. Datorberäkningar av pourbaixdiagram användes för att skapa en förståelse av de kemiska egenskaperna för etslösningarna. Etsegenskaperna påverkas till stordel av lösningens pH. TiO2 kan etsas i basisk lösning av peroxid. Denna process utvärderades, likaså utvärderades etshasigheten för Y2O3 och SiO2 för att erhålla matchande par avoxider som en fallstudie. Grupp IVB oxiderna är mycket svåra att etsa. Katalytisk etsning av TiO2 med peroxid är detekterbar men långsam. Al2O3, Y2O3 och MgO är förhållandevis enkla att etsa men har för låga brytningsindex för att var praktiskt använbara i optiska multilagerfilter. In-situ etsinstrumentet befanns vara ett utmärkt verktyg för att mäta etshastigheten för tunnfilmer.
Style APA, Harvard, Vancouver, ISO itp.
2

Duan, Xuefeng 1981. "Microfabrication : using bulk wet etching with TMAH". Thesis, McGill University, 2005. http://digitool.Library.McGill.CA:80/R/?func=dbin-jump-full&object_id=97942.

Pełny tekst źródła
Streszczenie:
In November 2002 a Microfabrication Lab was established in the physics department of McGill University to support research in nanoscience and technology. At the same time, I arrived at McGill to begin my graduate study. So I was assigned to do research on microfabrication, especially bulk wet etching of silicon using TetraMethyl Ammonium Hydroxide (TMAH).
The content of microfabrication is quite broad, and also very useful in both industry and academic. Since our fab is a newly built one and I had no experience in this area before, this thesis mainly included some basic processes in microfabrication, such as the photolithography, wet etching, reactive ion etching, and soon. Also it compared the wet etching with dry etching. Some results of TMAH wet etching were showed in the thesis, which agreed well with that of the other groups. A simulation program was developed to predict the etching result of TMAH and it appeared to work well. Finally, based on the knowledge and experience acquired, processes in making cantilever and tip structures, which are critical in the scanning probe microscopes, were developed. Silicon oxide cantilevers with length of 100-200 mum, width of 30-50 mum, and thickness of 1 mum were obtained. Pyramid like silicon tips were also fabricated using the wet etching.
Style APA, Harvard, Vancouver, ISO itp.
3

Pal, P., K. Sato, M. A. Gosalvez, M. Shikida i 一雄 佐藤. "An improved anisotropic wet etching process for the fabrication of silicon MEMS structures using a single etching mask". IEEE, 2008. http://hdl.handle.net/2237/11137.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
4

Cui, Ziruo. "Wet Etching Optical Fibers to Sub-micron Diameters for Sensing Application". University of Dayton / OhioLINK, 2014. http://rave.ohiolink.edu/etdc/view?acc_num=dayton1397801129.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
5

Dave, Neha H. (Neha Hemang). "Removal of metal oxide defects through improved semi-anisotropic wet etching process". Thesis, Massachusetts Institute of Technology, 2012. http://hdl.handle.net/1721.1/78167.

Pełny tekst źródła
Streszczenie:
Thesis (M. Eng. in Manufacturing)--Massachusetts Institute of Technology, Dept. of Mechanical Engineering, 2012.
Cataloged from PDF version of thesis.
Includes bibliographical references (p. 52).
Data recently collected from an industrial thin film manufacturer indicate that almost 8% of devices are rejected due to excess metal, or unwanted metal on the device surface. Experimentation and analysis suggest that almost half of these defects are caused by incomplete removal of nickel oxides that form on top of the conductive nickel surface throughout the heated environment of the upstream process. This study classified and identified the composition of these excess metal defects, evaluated recommended wet etch methods to remove nickel oxide, and finally proposes a wet etch process that will rapidly remove defects while continuing to maintain the desired semi-anisotropic etch profile, uncharacteristic of most wet immersion etch processes. Results attested that rapid exposure to dilute (40%) nitric acid followed by immediate immersion into a cleaning agent, proprietary nickel etchant, and titanium tungsten etchant removed all nickel oxide defects. Upon implementation, this method has the potential to reduce scrap due to excess metal by 3% and reduce overall etch process time by 25%. In addition, a process was developed to completely etch patterned substrates with high defect density mid process and rework them from raw substrates.
by Neha H. Dave.
M.Eng.in Manufacturing
Style APA, Harvard, Vancouver, ISO itp.
6

Lopes, Silvia Elisabeth Sauaia. "Sistema de controle microprocessado para tanques para Wet-etching/cleaning em microeletronica". [s.n.], 1996. http://repositorio.unicamp.br/jspui/handle/REPOSIP/259325.

Pełny tekst źródła
Streszczenie:
Orientador: Jose Antonio Siqueira Dias
Dissertação (mestrado) - Universidade Estadual de Campinas, Faculdade de Engenharia Eletrica
Made available in DSpace on 2018-07-21T10:43:13Z (GMT). No. of bitstreams: 1 Lopes_SilviaElisabethSauaia_M.pdf: 6529088 bytes, checksum: 94361c93b2fa30ab34622f13f5d2b5f3 (MD5) Previous issue date: 1996
Resumo: Tanques para banho à temperatura constante necessitam de um sistema de controle para monitoração e controle de sua temperatura de operação e demais funções. O controle da temperatura deve ser rígido e preciso; condições de alarme e desligamento automático devem ser previstos. O presente trabalho pretende estudar, implementar e testar um protótipo de um sistema de controle microprocessado para tais tanques. Este trabalho apresenta um controlador digital do tipo PID, baseado na arquitetura do microcontrolador 8051 da Intel, com aIto desempenho, robusto, eficiente e simples, características estas comprovadas através de testes práticos realizados no final do projeto
Abstract: Tanks for constant temperature bath need a temperature and related functions moni toring and control system. Temperature control must be constant and precise; alarm and automatic switching off conditions must be provided. This work is to study, implement and test a microprocessor controller's prototype for such tanks. This work presents a digital controller with a PID control scheme, based in the architecture of the Intel' s 8051 microcontroller, with high performance, strong, efficient and simple, characteristics verified through practical tests made at the end of the project
Mestrado
Mestre em Engenharia Elétrica
Style APA, Harvard, Vancouver, ISO itp.
7

Zhang, Nikai. "Planar Waveguide Solar Concentrator with Couplers Fabricated by Laser-Induced Backside Wet Etching". University of Toledo / OhioLINK, 2013. http://rave.ohiolink.edu/etdc/view?acc_num=toledo1384365115.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
8

Ghalichechian, Nima. "Integration of benzocyclobutene polymers and silicon micromachined structures fabricated with anisotropic wet etching". College Park, Md. : University of Maryland, 2005. http://hdl.handle.net/1903/2361.

Pełny tekst źródła
Streszczenie:
Thesis (M.S.) -- University of Maryland, College Park, 2005.
Thesis research directed by: Dept. of Electrical and Computer Engineering. Title from t.p. of PDF. Includes bibliographical references. Published by UMI Dissertation Services, Ann Arbor, Mich. Also available in paper.
Style APA, Harvard, Vancouver, ISO itp.
9

Zhuang, Dejin. "Wet etching studies of ALN bulk crystals and their sublimation growth by microwaves /". Search for this dissertation online, 2004. http://wwwlib.umi.com/cr/ksu/main.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
10

Sudirham, Janivita Joto. "Space-time discontinuous Galerkin methods for convection-diffusion problems application to wet-chemical etching /". Enschede : University of Twente [Host], 2005. http://doc.utwente.nl/50890.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
11

Mistkawi, Nabil George. "Fundamental Studies in Selective Wet Etching and Corrosion Processes for High-Performance Semiconductor Devices". PDXScholar, 2010. https://pdxscholar.library.pdx.edu/open_access_etds/6.

Pełny tekst źródła
Streszczenie:
As multistep, multilayer processing in semiconductor industry becomes more complex, the role of cleaning solutions and etching chemistries are becoming important in enhancing yield and in reducing defects. This thesis demonstrates successful formulations that exhibit copper and tungsten compatibility, and are capable of Inter Layer Dielectric (ILD) cleaning and selective Ti etching. The corrosion behavior of electrochemically deposited copper thin films in deareated and non-dearated cleaning solution containing hydrofluoric acid (HF) has been investigated. Potentiodynamic polarization experiments were carried out to determine active, active-passive, passive, and transpassive regions. Corrosion rates were calculated from tafel slopes. ICP-MS and potentiodynamic methods yielded comparable Cu dissolution rates. Interestingly, the presence of hydrogen peroxide in the cleaning solution led to more than an order of magnitude suppression of copper dissolution rate. We ascribe this phenomenon to the formation of interfacial CuO which dissolves at slower rate in dilute HF. A kinetic scheme involving cathodic reduction of oxygen and anodic oxidation of Cu0 and Cu+1 is proposed. It was determined that the reaction order kinetics is first order with respect to both HF and oxygen concentrations. The learnings from copper corrosion studies were leveraged to develop a wet etch/clean formulation for selective titanium etching. The introduction of titanium hard-mask (HM) for dual damascene patterning of copper interconnects created a unique application in selective wet etch chemistry. A formulation that addresses the selectivity requirements was not available and was developed during the course of this dissertation. This chemical formulation selectively strips Ti HM film and removes post plasma etch polymer/residue while suppressing the etch rate of tungsten, copper, silicon oxide, silicon carbide, silicon nitride, and carbon doped silicon oxide. Ti etching selectivity exceeding three orders of magnitude was realized. Surprisingly, it exploits the use of HF, a chemical well known for its SiO2 etching ability, along with a silicon precursor to protect SiO2. The ability to selectively etch the Ti HM without impacting key transistor/interconnect components has enabled advanced process technology nodes of today and beyond. This environmentally friendly formulation is now employed in production of advanced high-performance microprocessors and produced in a 3000 gallon reactor.
Style APA, Harvard, Vancouver, ISO itp.
12

Montoliu, Álvaro Carles. "Study, Modelling and Implementation of the Level Set Method Used in Micromachining Processes". Doctoral thesis, Universitat Politècnica de València, 2015. http://hdl.handle.net/10251/58609.

Pełny tekst źródła
Streszczenie:
[EN] The main topic of the present thesis is the improvement of fabrication processes simulation by means of the Level Set (LS) method. The LS is a mathematical approach used for evolving fronts according to a motion defined by certain laws. The main advantage of this method is that the front is embedded inside a higher dimensional function such that updating this function instead of directly the front itself enables a trivial handling of complex situations like the splitting or coalescing of multiple fronts. In particular, this document is focused on wet and dry etching processes, which are widely used in the micromachining process of Micro-Electro-Mechanical Systems (MEMS). A MEMS is a system formed by mechanical elements, sensors, actuators, and electronics. These devices have gained a lot of popularity in last decades and are employed in several industry fields such as automotive security, motion sensors, and smartphones. Wet etching process consists in removing selectively substrate material (e.g. silicon or quartz) with a liquid solution in order to form a certain structure. This is a complex process since the result of a particular experiment depends on many factors, such as crystallographic structure of the material, etchant solution or its temperature. Similarly, dry etching processes are used for removing substrate material, however, gaseous substances are employed in the etching stage. In both cases, the usage of a simulator capable of predicting accurately the result of a certain experiment would imply a significant reduction of design time and costs. There exist a few LS-based wet etching simulators but they have many limitations and they have never been validated with real experiments. On the other hand, atomistic models are currently considered the most advanced simulators. Nevertheless, atomistic simulators present some drawbacks like the requirement of a prior calibration process in order to use the experimental data. Additionally, a lot of effort must be invested to create an atomistic model for simulating the etching process of substrate materials with different atomistic structures. Furthermore, the final result is always formed by unconnected atoms, which makes difficult a proper visualization and understanding of complex structures, thus, usually an additional visualization technique must be employed. For its part, dry etching simulators usually employ an explicit representation technique to evolve the surface being etched according to etching models. This strategy can produce unrealistic results, specially in complex situations like the interaction of multiple surfaces. Despite some models that use implicit representation have been published, they have never been directly compared with real experiments and computational performance of the implementations have not been properly analysed. The commented limitations are addressed in the various chapters of the present thesis, producing the following contributions: - An efficient LS implementation in order to improve the visual representation of atomistic wet etching simulators. This implementation produces continuous surfaces from atomistic results. - Definition of a new LS-based model which can directly use experimental data of many etchant solutions (such as KOH, TMAH, NH4HF2, and IPA and Triton additives) to simulate wet etching processes of various substrate materials (e.g. silicon and quartz). - Validation of the developed wet etching simulator by comparing it to experimental and atomistic simulator results. - Implementation of a LS-based tool which evolves the surface being etched according to dry etching models in order to enable the simulation of complex processes. This implementation is also validated experimentally. - Acceleration of the developed wet and dry etching simulators by using Graphics Processing Units (GPUs).
[ES] El tema principal de la presente tesis consiste en mejorar la simulación de los procesos de fabricación utilizando el método Level Set (LS). El LS es una técnica matemática utilizada para la evolución de frentes según un movimiento definido por unas leyes. La principal ventaja de este método es que el frente está embebido dentro de una función definida en una dimensión superior. Actualizar dicha función en lugar del propio frente permite tratar de forma trivial situaciones complejas como la separación o la colisión de diversos frentes. En concreto, este documento se centra en los procesos de atacado húmedo y seco, los cuales son ampliamente utilizados en el proceso de fabricación de Sistemas Micro-Electro-Mecánicos (MEMS, de sus siglas en inglés). Un MEMS es un sistema formado por elementos mecánicos, sensores, actuadores y electrónica. Estos dispositivos hoy en día son utilizados en muchos campos de la industria como la seguridad automovilística, sensores de movimiento y teléfonos inteligentes. El proceso de atacado húmedo consiste en eliminar de forma selectiva el material del sustrato (por ejemplo, silicio o cuarzo) con una solución líquida con el fin de formar una estructura específica. Éste es un proceso complejo pues el resultado depende de muchos factores, tales como la estructura cristalográfica del material, la solución atacante o su temperatura. De forma similar, los procesos de atacado seco son utilizados para eliminar el material del sustrato, sin embargo, se utilizan sustancias gaseosas en la fase de atacado. En ambos casos, la utilización de un simulador capaz de predecir de forma precisa el resultado de un experimento concreto implicaría una reducción significativa del tiempo de diseño y de los costes. Existen unos pocos simuladores del proceso de atacado húmedo basados en el método LS, no obstante tienen muchas limitaciones y nunca han sido validados con experimentos reales. Por otro lado, los simuladores atomísticos son hoy en día considerados los simuladores más avanzados pero tienen algunos inconvenientes como la necesidad de un proceso de calibración previo para poder utilizar los datos experimentales. Además, debe invertirse mucho esfuerzo para crear un modelo atomístico para la simulación de materiales de sustrato con distintas estructuras atomísticas. Asimismo, el resultado final siempre está formado por átomos inconexos que dificultan una correcta visualización y un correcto entendimiento de aquellas estructuras complejas, por tanto, normalmente debe emplearse una técnica adicional para la visualización de dichos resultados. Por su parte, los simuladores del proceso de atacado seco normalmente utilizan técnicas de representación explícita para evolucionar, según los modelos de atacado, la superficie que está siendo atacada. Esta técnica puede producir resultados poco realistas, sobre todo en situaciones complejas como la interacción de múltiples superficies. A pesar de que unos pocos modelos son capaces de solventar estos problemas, nunca han sido comparados con experimentos reales ni el rendimiento computacional de las correspondientes implementaciones ha sido adecuadamente analizado. Las expuestas limitaciones son abordadas en la presente tesis y se han producido las siguientes contribuciones: - Implementación eficiente del método LS para mejorar la representación visual de los simuladores atomísticos del proceso de atacado húmedo. - Definición de un nuevo modelo basado en el LS que pueda usar directamente los datos experimentales de muchos atacantes para simular el proceso de atacado húmedo de diversos materiales de sustrato. - Validación del simulador comparándolo con resultados experimentales y con los de simuladores atomísticos. - Implementación de una herramienta basada en el método LS que evolucione la superficie que está siendo atacada según los modelos de atacado seco para habilitar la simulación de procesos comple
[CAT] El tema principal de la present tesi consisteix en millorar la simulació de processos de fabricació mitjançant el mètode Level Set (LS). El LS és una tècnica matemàtica utilitzada per a l'evolució de fronts segons un moviment definit per unes lleis en concret. El principal avantatge d'aquest mètode és que el front està embegut dins d'una funció definida en una dimensió superior. D'aquesta forma, actualitzar la dita funció en lloc del propi front, permet tractar de forma trivial situacions complexes com la separació o la col·lisió de diversos fronts. En concret, aquest document es centra en els processos d'atacat humit i sec, els quals són àmpliament utilitzats en el procés de fabricació de Sistemes Micro-Electro-Mecànics (MEMS, de les sigles en anglès). Un MEMS és un sistema format per elements mecànics, sensors, actuadors i electrònica. Aquests dispositius han guanyat molta popularitat en les últimes dècades i són utilitzats en molts camps de la indústria, com la seguretat automobilística, sensors de moviment i telèfons intel·ligents. El procés d'atacat humit consisteix en eliminar de forma selectiva el material del substrat (per exemple, silici o quars) amb una solució líquida, amb la finalitat de formar una estructura específica. Aquest és un procés complex ja que el resultat de un determinat experiment depèn de molts factors, com l'estructura cristal·logràfica del material, la solució atacant o la seva temperatura. De manera similar, els processos d'atacat sec son utilitzats per a eliminar el material del substrat, no obstant, s'utilitzen substàncies gasoses en la fase d'atacat. En ambdós casos, la utilització d'un simulador capaç de predir de forma precisa el resultat d'un experiment en concret implicaria una reducció significativa del temps de disseny i dels costos. Existeixen uns pocs simuladors del procés d'atacat humit basats en el mètode LS, no obstant tenen moltes limitacions i mai han sigut validats amb experiments reals. Per la seva part, els simuladors atomístics tenen alguns inconvenients com la necessitat d'un procés de calibratge previ per a poder utilitzar les dades experimentals. A més, deu invertir-se molt d'esforç per crear un model atomístic per a la simulació de materials de substrat amb diferents estructures atomístiques. Així mateix, el resultat final sempre està format per àtoms inconnexos que dificulten una correcta visualització i un correcte enteniment d'aquelles estructures complexes, per tant, normalment deu emprar-se una tècnica addicional per a la visualització d'aquests resultats. D'altra banda, els simuladors del procés d'atacat sec normalment utilitzen tècniques de representació explícita per evolucionar, segons els models d'atacat, la superfície que està sent atacada. Aquesta tècnica pot introduir resultats poc realistes, sobretot en situacions complexes com per exemple la interacció de múltiples superfícies. A pesar que uns pocs models son capaços de resoldre aquests problemes, mai han sigut comparats amb experiments reals ni tampoc el rendiment computacional de les corresponents implementacions ha sigut adequadament analitzat. Les exposades limitacions son abordades en els diferents capítols de la present tesi i s'han produït les següents contribucions: - Implementació eficient del mètode LS per millorar la representació visual dels simuladors atomístics del procés d'atacat humit. - Definició d'un nou model basat en el mètode LS que puga utilitzar directament les dades experimentals de molts atacants per a simular el procés d'atacat humit de diversos materials de substrat. - Validació del simulador d'atacat humit desenvolupat comparant-lo amb resultats experimentals i amb els de simuladors atomístics. - Implementació d'una ferramenta basada en el mètode LS que evolucione la superfície que està sent atacada segons els models d'atacat sec per, d'aquesta forma, habilitar la simulació de processo
Montoliu Álvaro, C. (2015). Study, Modelling and Implementation of the Level Set Method Used in Micromachining Processes [Tesis doctoral no publicada]. Universitat Politècnica de València. https://doi.org/10.4995/Thesis/10251/58609
TESIS
Style APA, Harvard, Vancouver, ISO itp.
13

Pal, Prem, Kazuo Sato, Miguel A. Gosalvez i Mitsuhiro Shikida. "Novel Wet Anisotropic Etching Process for the Realization of New Shapes of Silicon MEMS Structures". IEEE, 2007. http://hdl.handle.net/2237/9437.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
14

Chaudhury, Rabib. "Selective wet chemical etching of erosion resistant coatings from titanium alloy substrates: mechanism and optimization". Thesis, McGill University, 2013. http://digitool.Library.McGill.CA:80/R/?func=dbin-jump-full&object_id=117073.

Pełny tekst źródła
Streszczenie:
Titanium aluminum nitride (TiAlN) is a type of erosion resistant ceramic coating that is applied to metal parts subject to high wear environments. Adding this coating helps protect the underlying substrate from these adverse conditions. Sometimes the coating layer must be removed and a new layer re-applied. The overarching goal of this project is to successfully remove the TiAlN coating from titanium alloy substrates through wet chemical etching. In meeting this goal, the following objectives must be met: the process must be fast, selective (i.e. does not adversely affect the underlying substrate), operate isothermally, and make use of chemicals that are environmentally friendly. A combination of hydrogen peroxide, potassium oxalate, and ethylenediaaminetetracetic acid (EDTA) was found to accomplish the stated objectives. Hydrogen peroxide and potassium oxalate are responsible for removing the coating and producing titanium metal ions in solution. The role of EDTA is to form coordination complexes with these metal ions so as to reduce their reactivity with hydrogen peroxide in solution. The etching process was optimized for selectivity. A kinetic model was built using a modified differential technique and Arrhenius plots. It was determined that selectivity increases with increasing temperature and potassium oxalate concentration while it decreases with increasing hydrogen peroxide concentration. Sensitivity analysis shows that selectivity is much more prone to change with changing hydrogen peroxide concentration. Surrogate modeling using a Least Squares-Support Vector Machine model confirms the trends predicted by the kinetic model except that selectivity seems to peak when varying potassium oxalate concentration.
Titanium aluminum nitride (TiAlN) est un type de revêtement céramique résistant à l'érosion qui est appliqué à des pièces métalliques soumises à des environnements à forte usure. L'ajout de ce revêtement permet de protéger le substrat de ces conditions défavorables. Parfois, la couche de revêtement doit être retiré et une nouvelle couche réappliqué. L'objectif principal de ce projet est de réussir à enlever le revêtement TiAlN à partir de substrats en alliage de titane par 'wet chemical etching'. Pour atteindre cet objectif, les objectifs suivants doivent être atteints: le processus doit être rapide, sélective (c'est à dire ne pas nuire au substrat titanium), de s'opérer dans une manière isotherme, et faire usage de produits chimiques qui sont respectueux de l'environnement. Une combinaison de hydrogen peroxide, potassium oxalate et de l'acide ethylenediaaminetetracetic (EDTA) a été trouvé pour atteindre les objectifs. Hydrogen peroxide et de potassium oxalate sont responsables de l'élimination du revêtement et produire des ions métalliques de titane en solution. Le rôle de l'EDTA est de former des complexes de coordination avec ces ions métalliques de manière à réduire leur réactivité avec le hydrogen peroxide en solution. Le processus a été optimisé pour la sélectivité. Un modèle cinétique a été construit en utilisant une méthode différentielle modifiée et des parcelles d'Arrhenius. Il a été déterminé que la sélectivité augmente avec la température et la concentration de potassium oxalate alors qu'il diminue quand la concentration de hydrogen peroxide augmente. L'analyse de sensibilité montre que la sélectivité est beaucoup plus enclin à changer avec la concentration de hydrogen peroxide. Modélisation de substitution (Surrogate Modeling) en utilisant un modèle Least Squares-Support Vector Machine confirme les tendances prédites par le modèle cinétique, sauf que la sélectivité semble culminer en variant la concentration d'oxalate de potassium.
Style APA, Harvard, Vancouver, ISO itp.
15

Zheng, Wen Ph D. Massachusetts Institute of Technology. "Fabrication of capacitors based on silicon nanowire arrays generated by metal-assisted wet chemical etching". Thesis, Massachusetts Institute of Technology, 2016. http://hdl.handle.net/1721.1/104114.

Pełny tekst źródła
Streszczenie:
Thesis: Ph. D., Massachusetts Institute of Technology, Department of Materials Science and Engineering, 2016.
This electronic version was submitted by the student author. The certified thesis is available in the Institute Archives and Special Collections.
Cataloged from student-submitted PDF version of thesis.
Includes bibliographical references (pages 170-177).
Capacitors with high capacitance density (capacitance per footprint area) have potential applications in autonomous microsystems that harvest energy from the environment, as they can store and release energy at high rates. Use of high surface-to-volume ratio structures has been demonstrated as an effective way to increase the electrode area, and therefore to improve the capacitance density, while still keeping the footprint area low. The goal of this thesis was to first develop an understanding of the mechanisms of metal assisted wet chemical etching for fabrication of arrays of silicon nanowires, and then use this understanding to build nanowire array on-chip capacitors in silicon substrates, in order to eliminate additional packaging and enable local and efficient energy delivery. Two types of capacitors were investigated: electrostatic metal-oxide-semiconductor (MOS) capacitors for power management, and supercapacitors for energy storage purposes. For both types of devices, enlarged surface area per footprint was achieved by utilizing the arrays of silicon nanowires. Fundamental studies of the roles of metals in metal-assisted chemical etching (MACE) of silicon were conducted. Lithography techniques were used to generate patterns in metal films which when subjected to MACE resulted in formation of ordered arrays of silicon nanowires. Investigation of various metal catalysts showed that Pt is a more active catalyst than Au, while Cu is not stable in the etchant. Tapered silicon nanowires can be generated by adding a layer of Cu between two Au layers, and etching occurs much faster than when a pure Au catalyst is used. While carrying out research on the mechanisms of MACE, we developed a new electrochemical method for formation of arrays of silicon nanowires, metal-assisted anodic etching (MAAE). In this process, the etchant consists of HF alone, and does not include an oxidant. In both processes, HF is used as an etchant. However, in MACE, electronic holes are supplied through reduction of an oxidant (e.g. H₂O₂), while in MAAE, electronic holes are supplied through an external circuit, with anodic contact to either the metal or the silicon. In both contact cases for MAAE, the metal catalyzes the etching process and leads to controlled formation of silicon nanowires, without the need for an oxidant. This discovery, and its analysis, provided new insights into the mechanisms of both MAAE and MACE, and also opened the possibility for use of metal catalyzed electrochemical etching of other materials that cannot survive the HF/oxidant mixture. Processes for fabrication of on-chip capacitors based on silicon nanowires were next developed. We first fabricated on-chip MOS capacitors with nanowire arrays etched using MACE with both single crystal silicon substrates and polycrystalline silicon films. For wires made in both cases, the capacitance density followed a same scaling trend related to their geometries. Epitaxial wafers were used with a post-etch doping process to reduce the series resistance in the devices in order to obtain a better frequency response, as desired for high frequency circuits. To achieve higher capacitance densities for energy storage purposes, we also designed a solid state supercapacitor device based on nanowires etched using MAAE with heavily doped n-type silicon substrates. The silicon nanowires were coated with RuO₂ using atomic layer deposition (ALD) to achieve a high capacitance. In this case, charge is stored through the formation of an electrical double layer and through reversible redox reactions. We showed that the capacitance density of these devices roughly scaled with the increased surface area of silicon nanowire arrays. The solid state supercapacitor achieved a capacitance density of 6.5mF/cm², which is comparable to the best results achieved with other types of on-chip supercapacitors. In contrast with other processes for forming on-chip supercapacitors, the supercapacitors we demonstrated were fabricated using a fully complementary metal-oxide-semiconductor (CMOS) technology compatible process. Moreover, the Si nanowire-based device achieved this high capacitance density without sacrificing power performance compared to the planar device.
by Wen Zheng.
Ph. D.
Style APA, Harvard, Vancouver, ISO itp.
16

Dhru, Shailini Rajiv. "Process Development For The Fabrication Of Mesoscale Electrostatic Valve Assembly". Master's thesis, University of Central Florida, 2007. http://digital.library.ucf.edu/cdm/ref/collection/ETD/id/4244.

Pełny tekst źródła
Streszczenie:
This study concentrates on two of the main processes involved in the fabrication of electrostatic valve assembly, thick resist photolithography and wet chemical etching of a polyamide film. The electrostatic valve has different orifice diameters of 25, 50, 75 and 100 μm. These orifice holes are to be etched in the silicon wafer with deep reactive ion etching. The photolithography process is developed to build a mask of 15 μm thick resist pattern on silicon wafer. This photo layer acts as a mask for deep reactive ion etching. Wet chemical etching process is developed to etch kapton polyamide film. This etched film is used as a stand off, gap between two electrodes of the electrostatic valve assembly. The criterion is to develop the processed using standard industry tools. Pre post etch effects, such as, surface roughness, etching pattern, critical dimensions on the samples are measured with Veeco profilometer.
M.S.
Other
Engineering and Computer Science
Electrical Engineering MSEE
Style APA, Harvard, Vancouver, ISO itp.
17

Yildirim, Alper. "Development Of A Micro-fabrication Process Simulator For Micro-electro-mechanical-systems(mems)". Master's thesis, METU, 2005. http://etd.lib.metu.edu.tr/upload/12606850/index.pdf.

Pełny tekst źródła
Streszczenie:
ABSTRACT DEVELOPMENT OF A MICRO-FABRICATION PROCESS SIMULATOR FOR MICRO-ELECTRO-MECHANICAL SYSTEMS (MEMS) Yildirim, Alper M.S, Department of Mechanical Engineering Supervisor: Asst. Prof. Dr. Melik Dö
len December 2005, 140 pages The aim of this study is to devise a computer simulation tool, which will speed-up the design of Micro-Electro-Mechanical Systems by providing the results of the micro-fabrication processes in advance. Anisotropic etching along with isotropic etching of silicon wafers are to be simulated in this environment. Similarly, additive processes like doping and material deposition could be simulated by means of a Cellular Automata based algorithm along with the use of OpenGL library functions. Equipped with an integrated mask design editor, complex mask patterns can be created by the software and the results are displayed by the Cellular Automata cells based on their spatial location and plane. The resultant etched shapes are in agreement with the experimental results both qualitatively and quantitatively. Keywords: Wet Etching, Anisotropic Etching, Doping, Cellular Automata, Micro-fabrication simulation, Material Deposition, Isotropic Etching, Dry Etching, Deep Reactive Ion Etching
Style APA, Harvard, Vancouver, ISO itp.
18

Powell, Olly, i n/a. "Fabrication of Micro-Mirrors in Silicon Optical Waveguides". Griffith University. School of Microelectronic Engineering, 2004. http://www4.gu.edu.au:8080/adt-root/public/adt-QGU20040719.115224.

Pełny tekst źródła
Streszczenie:
The conventional large radii bends used in large cross section silicon-on-insulator waveguides were replaced with novel wet etched corner mirrors, potentially allowing much smaller devices, therefore lower costs. If such corners had been based on reactive ion etch techniques they would have had the disadvantage of rougher surfaces and poor alignment in the vertical direction. Wet etching overcomes these two problems by providing smooth corner facets aligned precisely to the vertical {100} silicon crystallographic planes. The waveguides obtained had angled walls, and so numerical analysis was undertaken to establish the single mode condition for such trapezoidal structures. To show the relationship between fabrication tolerances and optical losses a three dimensional simulation tool was developed, based on expansion of the incident mode into plane waves. Various new fabrication techniques were are proposed, namely: the use of titanium as a mask for deep silicon wet anisotropic etching, a technique for aligning masks to the crystal plane on silicon-oninsulator wafers, a corner compensation method for sloping sidewalls, and the suppression of residues and pyramids with the use of acetic acid for KOH etching. Also, it was shown that isopropyl alcohol may be used in KOH etching of vertical walls if the concentration and temperature are sufficiently high. As the proposed corner mirrors were convex structures the problem of undercutting by high order crystal planes arose. This was uniquely overcome by the addition of some structures to effectively convert the convex structures into concave ones. The corner mirrors had higher optical losses than were originally hoped for, similar to those of mirrors in thin film waveguides made by RIE. The losses were possibly due to poor angular precision of the lithography process. The design also failed to provide adequate mechanisms to allow the etch to be stopped at the optimal time. The waveguides had the advantage over thin film technology of large, fibre-compatible cross sections. However the mirror losses must be reduced for the technology to compete with existing large cross section waveguides using large bends. Potential applications of the technology are also discussed. The geometry of the crystal planes places fundamental limits on the proximity of any two waveguides. This causes some increase in the length of MMI couplers used for channel splitting. The problem could possibly be overcome by integrating one of the mirrors into the end of the MMI coupler to form an L shaped junction.
Style APA, Harvard, Vancouver, ISO itp.
19

Powell, Olly. "Fabrication of Micro-Mirrors in Silicon Optical Waveguides". Thesis, Griffith University, 2004. http://hdl.handle.net/10072/365595.

Pełny tekst źródła
Streszczenie:
The conventional large radii bends used in large cross section silicon-on-insulator waveguides were replaced with novel wet etched corner mirrors, potentially allowing much smaller devices, therefore lower costs. If such corners had been based on reactive ion etch techniques they would have had the disadvantage of rougher surfaces and poor alignment in the vertical direction. Wet etching overcomes these two problems by providing smooth corner facets aligned precisely to the vertical {100} silicon crystallographic planes. The waveguides obtained had angled walls, and so numerical analysis was undertaken to establish the single mode condition for such trapezoidal structures. To show the relationship between fabrication tolerances and optical losses a three dimensional simulation tool was developed, based on expansion of the incident mode into plane waves. Various new fabrication techniques were are proposed, namely: the use of titanium as a mask for deep silicon wet anisotropic etching, a technique for aligning masks to the crystal plane on silicon-oninsulator wafers, a corner compensation method for sloping sidewalls, and the suppression of residues and pyramids with the use of acetic acid for KOH etching. Also, it was shown that isopropyl alcohol may be used in KOH etching of vertical walls if the concentration and temperature are sufficiently high. As the proposed corner mirrors were convex structures the problem of undercutting by high order crystal planes arose. This was uniquely overcome by the addition of some structures to effectively convert the convex structures into concave ones. The corner mirrors had higher optical losses than were originally hoped for, similar to those of mirrors in thin film waveguides made by RIE. The losses were possibly due to poor angular precision of the lithography process. The design also failed to provide adequate mechanisms to allow the etch to be stopped at the optimal time. The waveguides had the advantage over thin film technology of large, fibre-compatible cross sections. However the mirror losses must be reduced for the technology to compete with existing large cross section waveguides using large bends. Potential applications of the technology are also discussed. The geometry of the crystal planes places fundamental limits on the proximity of any two waveguides. This causes some increase in the length of MMI couplers used for channel splitting. The problem could possibly be overcome by integrating one of the mirrors into the end of the MMI coupler to form an L shaped junction.
Thesis (PhD Doctorate)
Doctor of Philosophy (PhD)
School of Microelectronic Engineering
Full Text
Style APA, Harvard, Vancouver, ISO itp.
20

Wu, Chih-Ling, i 吳志凌. "Wet etching of patterned sapphire substrates". Thesis, 2007. http://ndltd.ncl.edu.tw/handle/99842258278648630725.

Pełny tekst źródła
Streszczenie:
碩士
國立臺灣大學
光電工程學研究所
95
For last few years, there have been lots of progresses on InGaN/GaN-based light-emitting diodes (LEDs). Many new applications based on these LEDs including traffic light, backlight of TFT-LCD and some lighting applications, have been developed owing to the merits of LEDs, such as high-brightness, long-lifetime and high stability. However, for future illumination applications, it is very important to further enhance the external quantum efficiency of LED. In this research, patterned sapphire substrates were fabricated with wet chemical etching technology. A 3H2SO4:1H3PO4 volume mixture was used as the etchant. Compared to the dry etching, wet etching had several merits, such as simpler process, higher etching rate, pit–free etching surface and lower cost. With proper treatment, very high etching rate with smooth and pit-free surface was obtained. The highest etching rate we measured was above 3μm/min. The relation between etching rates and temperatures is investigated in this work. At the same time, different geometrical patterned sapphire substrate is manufactured with different etching conditions. For further LEPS (Lateral Epitaxy on Patterned Substrate) technique, we can design the mask pattern to get patterned sapphire substrate we want.
Style APA, Harvard, Vancouver, ISO itp.
21

Shih, Jian-An, i 施建安. "Polyimide substrate micromachining by wet-etching technology". Thesis, 2019. http://ndltd.ncl.edu.tw/handle/bnruyk.

Pełny tekst źródła
Streszczenie:
博士
國立中央大學
機械工程學系
107
This work presents the effects of swinging times, surfactant immersion time, corrosion solution immersion time and the pressure of water jet cutting on the PI film in order to fabricate a through hole with a diameter small to 30μm via wet-etching technology. The results are described as follows. First, the depth of etching pore becomes deeper with the increase of swinging times of water jet cutting, meanwhile the diameter of etching pore becomes broaden. Second, surfactant increases the surface energy and hydrophilicity of PI substrates, immersing the pores into corrosion solution more effectively. Finally, the immersion process before water jet cutting softens the PI substrate, thus retaining more etching solution inside the pore and facilitating the dissolution process. Forth, even with a forward force through the pore, the lateral etching becomes more obvious with the increase the jet cutting pressure.
Style APA, Harvard, Vancouver, ISO itp.
22

Tsai, Wei Lun, i 蔡維倫. "Wet etching process investigation of patterned sapphire substrate". Thesis, 2008. http://ndltd.ncl.edu.tw/handle/pu68b2.

Pełny tekst źródła
Streszczenie:
碩士
長庚大學
電子工程學研究所
96
In this research, we tried to use H2SO4 and H3PO4 mixed solution with different ratios to etch sapphire substrate at high temperature. With our experiment results, we found that the H2SO4 and H3PO4 solution with the ratio of 3:1 is the best solution for etching sapphire wafer. While etching with the stripe pattern, we got trenches along the <-1010> direction with symmetric sidewalls, and trenches along the <-2110> direction with asymmetric sidewalls on sapphire surface. Since the wafer we used for etching were very small (about 1/16 of 2” sapphire wafer), there was no reference direction for alignment while we were doing exposure. But with such random alignment, it is interesting to find there are only two specific type of trenches will be produced. While etching with circle, hexagonal and rectangle patterns, the surface kept same shape as the mask. But inside the concave there were always three R-plane joint together and forming pyramidal awl. Finally, we found that 250℃ is the best temperature for stripe, circle and hexagon patterns wet etching since both etching rate and depth could be precisely controlled under this condition.
Style APA, Harvard, Vancouver, ISO itp.
23

Hsieh, Chia-Ming, i 謝嘉銘. "Study and Application of TMAH Anisotropic Wet Etching". Thesis, 2000. http://ndltd.ncl.edu.tw/handle/81718552117093274366.

Pełny tekst źródła
Streszczenie:
碩士
國立臺灣大學
機械工程學研究所
88
Anisotropic wet etching is one of the key technologies for the microstructure fabrication in Micro Electro Mechanical Systems (MEMS). The most commonly used etchants are potassium hydroxide water solution (KOH), ethylenediamine-pyrocatechol-water (EDP), and hydrazine-water solution. EDP and hydrazine-water solution handling are dangerous because of the high toxicity and instability. Aqueous KOH solutions are the most widely used due to low toxicity and good surface roughness, but the compatibility with the CMOS processes is not good enough due to the mobile potassium ion contamination. In recent years, a special anisotropic etchant, tetramethylammonium hydroxide (TMAH, (CH3)4NOH)) has been proposed and is fulfils CMOS-compatibility requirements and non-toxic. The present study aims to investigate the etching rate varies vs. the etchant’s temperature and concentration. The effects of passivation silicon oxide and surface roughness have also received very little attention. In our work, we choose three variables: (i) TMAH solution concentration by weight (2~25%), (ii) TMAH solution temperature (70~90°C), and (iii) the silicon substrate type (n- and p-type silicon wafers) to monitor the TMAH etching rate, the selectivity of Si/SiO2, and the surface roughness. In our study, the most fast etching rate is 81 m/hr, which appears at 90°C and 8 wt.% TMAH solution. We also find the selectivity of Si/SiO2 will much higher than KOH solutions at lower temperature in our study. At lower concentration (2~5 wt.%) will result the hillocks of the surface, but these will disappear at higher concentration (15~25 wt.%). Finally, the pre-alignment is also conducted. Such pre-etching patterns allow us to determine the <100> crystal orientation within accuracy of 0.05° and can be used as valuable reference for all subsequent mask patterns.
Style APA, Harvard, Vancouver, ISO itp.
24

Weng, Tsu-Hsien, i 翁祖賢. "Laser-induced backside wet cleaning and etching techniques". Thesis, 2014. http://ndltd.ncl.edu.tw/handle/61761482493374135204.

Pełny tekst źródła
Streszczenie:
博士
華梵大學
機電工程學系
102
The aimed of this paper is to propose the alternative techniques of laser cleaning and etching for glass substrates. Two kinds of laser cleaning techniques are proposed in this study. The first involves applying an Nd:YAG laser to the backside of the substrate which is submerged in water. A metal plate is placed below the glass substrate. Most of the laser energy will be absorbed by the metal plate. The metal then vaporizes the water and generates a turbulent bubble flow. The bubble flow removes the alumina particles from the surface of the glass substrate. The second involves using a CO2 laser to generate turbulent bubble flow to remove the particles. In the study of laser etching, the assisted technique of bubble nuzzle is proposed which is used to enhance the impact of the bubble jet. The bubble jet induces shock waves in the liquid. The glass materials subjected to the impact of the bubble jet will be softened, and then be expelled by the shock wave resulting from the jet impact. The phenomena of bubble nucleation, growth, collapse, and jet impact were studied. Because the laser is applied to the backside of the substrate, the damage due to the laser heat can be significantly reduced. The quality and efficient of the backside processing are better than those of the front side processing. The parameters of laser power, repetition rate, and the scanning speed were established. Both laser cleaning methods were successfully demonstrated for the removal of submicron particles of 0.5 µm in size. The laser etching method was successfully demonstrated for etching a cavity of 5-10 µm in depth and 100 µm in diameter. The proposed etching technique has great potential to provide an improved solution for the micro-machining of glass. Keywords:Laser cleaning, Laser etching, Backside wet cleaning, Backside wet etching, Glass substrates
Style APA, Harvard, Vancouver, ISO itp.
25

Yi, Eun-Hyeong. "Photo-assisted wet (PAW) etching for laser fabrication". 2009. http://hdl.rutgers.edu/1782.2/rucore10001600001.ETD.000051427.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
26

Huang, Chih-wei, i 黃志偉. "Fabrication of quartz micro-pillars using wet etching". Thesis, 2011. http://ndltd.ncl.edu.tw/handle/20997152202725482986.

Pełny tekst źródła
Streszczenie:
碩士
國立中央大學
機械工程研究所
100
Abstract Quartz has many remarkable characteristics such as piezoelectricity nature, high frequency, and thermal stability. It has been widely used as the main materials for oscillators, resonators, and surface acoustic wave filters. In recent years, quartz has been used in micro-sensors and MEMS applications, such as quartz SAW micro-sensors for biological analysis, and quartz microbalance for gas detection. To make quartz micro-sensors, it usually requires etching processes. Comparing dry and wet etching techniques of quartz, the cost of dry etching has more preferable profile control but is much more expensive than the wet etching. It is very attractive to make complex structures using wet etching. In this thesis, we develop wet etching technique to fabricate micro-pillars and micro-needles which have potential usages in increasing sensitivity of micro-sensors and surface modification. To fabricate the structures, chromium and gold thin film is evaporated on the quartz substrate and patterned as etching masks. The sample is then etched in ammonium fluoride solution of saturated concentration at 55℃. Due to the anisotropic etching property, micro-pillars can be formed. The etched profiles are observed using SEM to establish etching rate in the X direction, Y direction, and the shape and angle of the base structure. Using the experimental data, the etching criteria and equations can be established that provide ways for the predictions of the grows of high-density micro-pillars. The experimental etching profiles of micro-pillars consist with the prediction. This research is helpful for developing novel MEMS devices.
Style APA, Harvard, Vancouver, ISO itp.
27

Cho, Wen-June, i 邱文俊. "The Research of the KOH/Alcohol Etchant System in Wet Etching of Monocrystal Silicon". Thesis, 2004. http://ndltd.ncl.edu.tw/handle/6qun6c.

Pełny tekst źródła
Streszczenie:
博士
國立清華大學
化學工程學系
92
Abstract The study is mainly to discuss the influence of alcohol moderator on the different silicon crystalline plane, when the alcohol moderator was added into the KOH solution. Two types of alcohols were added into the KOH solution, one OH group alcohol and multi OH group alcohol. The etching rates of silicon (100) plane and (110) plane depended on the conditions of temperature, KOH concentration and alcohol concentration. At the condition of the highest etching rate, it discussed the development of circular concave of (100) silicon and (110) silicon. At the same time, it discussed the development of square convex of (100) silicon mesa under the condition of the highest etching rate for the design of convex compensation. It was found that the addition of alcohol had no influence on the etching rate of silicon (100) plane, but, significantly affected the etching rate of silicon (110) plane. For the high temperature etching and the smooth of the etched silicon (100) plane, some alcohols can make the etched silicon (100) plane smooth and produce no hillocks. By observing the development of the corner of the square convex, the highest etching rate of the convex corner undercut is (211) plane. The most reduction of the etching rate of (211) plane is at the KOH/1-pentanol etchant system. In the other way, the reduction of the (100) plane area gradually becomes less with the increase of the molecular chain length of alcohol. At the KOH/1-pentanol etchant system, the reduction ratio of the (100) plane area is least.
Style APA, Harvard, Vancouver, ISO itp.
28

Ning, Rong-Chun, i 甯榮椿. "Etching of SixNy and TiN Usning Inductively-Coupled Plasma Reactive Ion Etching: Study of Selectivity and Etching Rate of TiN with SC1 Wet Etching". Thesis, 2010. http://ndltd.ncl.edu.tw/handle/06657099658319035261.

Pełny tekst źródła
Streszczenie:
碩士
國立清華大學
材料科學工程學系
98
In order to make the lightly doped drain region structure Ⅲ-Ⅴ MOSFET self-aligned process well-controlled, information about dry etching and wet etching must be investigated. In this thesis, the dry etching of PECVD-SixNy and sputtered TiN was performed with inductively-coupled plasma reactive ion etching system to ascertain the etching rates and selectivity of SixNy to TiN. Wet etching rates of sputtered TiN, in-situ ALD-Al2O3, PECVD-SixNy with SC1 solution were also demonstrated. With the etching chemistry CHF3/O2 whose flow rate was 20/10 SCCM, the highest selectivity of SixNy to TiN as 9.0 was demonstrated with Prf = 200 W, Pbias = 10 W. The etching rates of SixNy and TiN were 170.1 and 18.9 separately in this condition. In addition, the opposite tendencies of etching rate with increasing rf power between SixNy and TiN were explained. For SixNy, high rf power discharged more gaseous etchants, resulting in the reduction of large amount of O2 volumes. Therefore, the fluorocarbon polymer film which was deposited during etching process could be removed with much less O2 and then the etching rate of SixNy would decrease. For TiN, high rf power discharged more gaseous etchants and generated more ions to bombard the TiN surface. This removed TiN dry etching solid byproducts faster, so it enhanced the etching rate. The same mechanism could also explain tendencies of etching rate with increasing bias power of SixNy and TiN. As for wet etching, the etching rate of TiN with SC1 solution was about 9.1 nm/min, while Al2O3 and SixNy etched little with SC1. it meant the damage of Al2O3 gate oxide and SixNy sidewall spacer didn’t need to be worried about. Besides, principles of film deposition instruments, plasma physics, and etching reaction were introduced in this thesis.
Style APA, Harvard, Vancouver, ISO itp.
29

Dai, Chung-Han, i 戴君涵. "Study of Buried-Contact Solar Cell by Wet Etching". Thesis, 2010. http://ndltd.ncl.edu.tw/handle/33393830312355787336.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
30

Tsai, Hsin-Jung, i 蔡欣蓉. "Feasibility Study on Wet Etching for Wafer Sawing Technology". Thesis, 2015. http://ndltd.ncl.edu.tw/handle/55765310936430933691.

Pełny tekst źródła
Streszczenie:
碩士
國立臺灣科技大學
化學工程系
103
In this work, the feasibility to combine multi-wire sawing and metal-assisted chemical etching (MaCE) processes for silicon wafer cutting technology is studied. First, layers of Pt metal were deposited on stainless steel substrate via electroless plating method and the prepared material was used for the surface modification of silicon wafer by MaCE. Next, a mixture design method was adopt in order to facilitate the process of finding the best ratio among etchant chemicals. Finally, the effects caused by different etching conditions were evaluated by SEM and surface profile measurements. It was found that uniform and dense Pt layers were successfully deposited on stainless steel by electroless plating, where the IPA and DI water diluted solutions were alternatively used in each plating cycle. As to the surface modification of silicon wafer by MaCE, the fastest etching rate was 16.348 m/min and 7.699 m/min respectively for (100) and (111) silicon, wherein the optimal combination of etchant chemicals was found HF:H2O2:TFA= 4:4:4.
Style APA, Harvard, Vancouver, ISO itp.
31

Jiang, Ren-Hao, i 江仁豪. "Wet oxidation and etching processes applied on GaN optoelectronic devices". Thesis, 2013. http://ndltd.ncl.edu.tw/handle/72ye59.

Pełny tekst źródła
Streszczenie:
博士
國立中興大學
材料科學與工程學系所
101
The major topics in this thesis were focused the characteristic of the fabrication and analysis about GaN-based optoelectronic devices through wet oxidation and etching process. In first part, a photoelectrichemical (PEC) wet mesa etching process was used to fabricate InGaN-based light-emitting diodes as a substitute for the conventional plasema mesa dry etching process. The etching process were consisted of photoelectrochemical wet oxidation and oxide-removed processes occurred on p-type GaN:Mg layer, InGaN active layer, and n-type GaN:Si layer to define mesa region. Furthermore, the wet mesa etching process produced lateral etching under p-GaN at mesa sidewall region and this process reduced strain in InGaN quantum well layers. We divided the three regions to discuss the piezoelectric fields of dry mesa etching and wet mesa etching process. From the results of the μ-PL spectra, bias-dependent μ-PL and other measurement, the piezoelectric fields from mesa center to TCL edge became smaller and smaller; additionally, the smaller piezoelectric fields of WME-LED were compared with the ST-LED. In second part, the light emitting diodes with the air-channel structure were fabricated by regrowth on a nanorods structure template. And then utilizing selective wet etching process formed nanoporous structure to embed in air-channel light-emitting diodes (A-LEDs). The nanorods structure was formed through the Ni film coated on u-GaN template was subsequently formed as the self-assembled Ni metal clusters using a rapid thermal annealing system, and then the u-GaN template layer was etched using a dry etching system. The selective wet etching was a dopant selective etching in oxalic acid by using PEC etching process to produce a different etching rate and morphology. For the A-LEDs and the nanoporous/air-channel LEDs (NA-LEDs), the light output powers were respectively enhanced 1.48- and 1.75-fold, and divergent angles was became smaller. In final part, the wet etching process was applied on chemical lift-off process of LEDs. The technique was different from other conventional chemical lift-off and it separated the LEDs from a nanorods structure template in a hot KOH solution (80 ℃, 2.2 M). The wet etching processes consisted of a reducing diameter process on a GaN nanorod structure and a crystallographic wet etched process on an N-face GaN surface. The N-face crystallographic etching process was limited by the boundary of the nanorod structure that InGaN active layer can prevent from the etching damage. The light output power of the lift-off LED had 2.28 times enhancement compared with the non-treated LED due to pyramidal-roughened structure formed.
Style APA, Harvard, Vancouver, ISO itp.
32

Lee, Dan-Long, i 李典融. "Fabrication of InGaAsP/InGaAsP Electro-absorption Modulator by Wet Etching". Thesis, 2004. http://ndltd.ncl.edu.tw/handle/11840264193728556880.

Pełny tekst źródła
Streszczenie:
碩士
國立中山大學
光電工程研究所
92
Abstract The high-speed performance of the lump-type electroabsorption modulator (EAM) is mainly limited by RC-effect. By taking advantage of the distributive effects, the traveling-wave structure can overcome the RC-lump effect. However, in order to enhance the limitation imposed by the conventional slow-waveguide type of traveling-wave structure, the speed of the device is still mainly restricted by the distributed capacitance of the waveguide. In this work, a novel type of traveling-wave-electroabsorption-modulator based on the undercut-etching the active region is successfully fabricated and measured. The methods of the processing adopted here is to lower the capacitance by chemical-wet-etching and two-time subsequent undercut etching on active region to further decrease the parasitic capacitance between P-type and N-type cladding layer. Also, the optical scattering loss may be reduced due the smooth sidewall of the waveguide from the wet etching. The whole processing shown in this thesis includes the lift-off technique by lithography, the metalization for n-, p- contacts (by thermal evaporator) and CPW microwave transmission (by e-beam evaporator), and PMGI-planarization. –15dB optical transmission, –6dB electrical transmission loss and >20GHz 3dB bandwidth of electrical-to-optical response at 50Ωtermination is measured on this kind of devices. It exhibits a high potential on the application of high-speed optical-fiber link in the future.
Style APA, Harvard, Vancouver, ISO itp.
33

Tai, Chih-Yu, i 戴志宇. "New-Geometrical-Structure Traveling-Wave Electroabsorption Modulator by Wet Etching". Thesis, 2005. http://ndltd.ncl.edu.tw/handle/07277851943296391340.

Pełny tekst źródła
Streszczenie:
碩士
國立中山大學
光電工程研究所
93
Abstract In this thesis, we propose a new geometrical structure of waveguide for the application of traveling-wave electroabsorption modulator (TWEAM). As approaching to high-speed performance in TWEAM, low parasitic capacitance in the waveguide is necessary to get good microwave propagation properties. In this work, a novel processing called two-step undercut-etching the active region (UEAR) is developed to reduce the parasitic capacitance. First of all, Beam Propagation Method (BPM) is used to calculate this 2-D structure optical modes ensuring the guiding capability in such kind of waveguides. Based on an equivalent circuit model, the microwave propagation on different structures of waveguide is then investigated to decide the UEAR waveguide structure. By the selectively etching solution on InP/InGaAsP, the processing by two-step UEAR is developed to reduce the parasitic capacitance in the waveguide core. H3PO4:HCl is used to selectively etch P-InP layer on the top of InGaAsP M.Q.W. (multiple quantum wells, active region). H3PO4:H2O2:H2O is subsequently and selectively remove InGaAsP M.Q.W.s to define the waveguide core. This processing has been successfully developed. The electrical transmission measurement on this kind of TWEAM shows low reflection S11 of < -17.5dB and a low insertion loss S21 of < –2.7dB from D.C. to 40GHz, indicating high microwave performance on such two-step UEAR waveguide can be achieved due to the low parasitic capacitance.
Style APA, Harvard, Vancouver, ISO itp.
34

Lai, Yu-Ting, i 賴郁廷. "Flow Rate Analysis of Wet Etching Machine Using Neural Network". Thesis, 2011. http://ndltd.ncl.edu.tw/handle/92933407233608864327.

Pełny tekst źródła
Streszczenie:
碩士
國立彰化師範大學
電機工程學系
99
In recently years, the government has promoted the semiconductor development with great encouragement, therefore the industry has grown rapidly. Also, the semiconductor equipments have developed at the same time. So far, most the equipment that monitor the flow rate make use of the wet etching for the single wafer spin processor only set up unusual flow rate for Max. and Min. data .In order to monitor the unusual flow rate for troubleshooting and analyzing as soon as possible. In this research, neural network with back-propagation will be used because of its learning characteristics and recall capability. In neural network with back-propagation, the learning capability can adjust the network weight values and therefore the output value will be closer to the target value. This research will make use of the non-linear mapping and recall learning capability characteristics for semiconductor wet etching equipment. The equipment engineer can be assisted when the equipment is mal-function because of the flow problem. Therefore troubleshooting and analysis purposes can be achieved.
Style APA, Harvard, Vancouver, ISO itp.
35

Chiou, Ya-Lan, i 邱雅蘭. "Gate recessing of AlGaN/GaN HEMT using PEC wet etching". Thesis, 2008. http://ndltd.ncl.edu.tw/handle/42280938214346084466.

Pełny tekst źródła
Streszczenie:
碩士
國立成功大學
光電科學與工程研究所
96
In the thesis, a photoelectrochemical (PEC) method is proposed and applied in fabricating gate-recessed AlGaN/GaN MOS-HEMTs. We used the PEC wet etching method to replace a generally dry etching method for reducing fewer ion-induced damages on the etched surface. Gate-recess process can change the position of oxide/semiconductor interface to modify the threshold voltage and enhance the gate controllability. After gate-recess process, the PEC oxidation method was used to oxidize AlGaN semiconductor directly as gate dielectric layer of AlGaN/GaN MOS-HEMTs. It can avoid the influence of the original contaminants on the AlGaN surface and passivate the surface states on AlGaN surface.Comparing AlGaN/GaN MOS-HEMTs with recessed AlGaN/GaN MOS-HEMTs, the saturation drain-source current at VGS=0V of MOS-HEMTsand recessed MOS-HEMTs is 509mA/mm and 642mA/mm, respectively. The drain-source current at VGS=4V of MOS-HEMTs and recessed MOS-HEMTs is 749mA/mm and 924mA/mm, respectively. The maximum value of extrinsic transconductance of MOS-HEMTs and recessed MOS-HEMTs is 78mS/mm and 86mS/mm, respectively. The breakdown voltage of MOS-HEMTs and recessed MOS-HEMTs is 12V and 16V, respectively. It can be found that the transconductance and the breakdown voltage of recessed MOS-HEMTs were improved. According to the low frequency noise results measured in this thesis, the Hooge’s coefficients of MOS-HEMTs and recessed MOS-HEMTs were estimated to be∼10−4. It is demonstrated that the PEC etching process would not induce many damages on AlGaN surface and increase the normalized noise power densities obviously.
Style APA, Harvard, Vancouver, ISO itp.
36

Chen, Rui Xin, i 陳瑞鑫. "Lithium niobate ridge optical waveguide devices fabricated by wet etching". Thesis, 1996. http://ndltd.ncl.edu.tw/handle/44682522421908336275.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
37

Lin, Chun-Hung, i 林俊宏. "Wet chemical etching study of galliun nitride by acid solution". Thesis, 2000. http://ndltd.ncl.edu.tw/handle/84eb34.

Pełny tekst źródła
Streszczenie:
碩士
中正理工學院
應用化學研究所
88
Since GaN has the wide band gap of 3.5eV (wurtzite phase), it is suitable for the light-emitted diodes, laser diodes, and the other photoelectric components, which emits the blue-green lights. Etching method and optimum are two important procedures to prepare the photoelectric components of the GaN device. The energetic ion etching and wet etching the are primary etching methods at the present time. Techniques like plasma of active gas or energetic ion etching can etch a smooth bottom and a vertical sidewall. However, the surface damage caused by ion bombardment will impact the device performance. On the other hand, the crystal structure and the chemical characteristic of GaN are stable, but not easy etching. This study selects H3PO4 solution with a high temperature and the photo-enhanced etching method to perform wet chemical etching. The temperature was heated up to 180℃ in H3PO4 solution (85%) to produce etching and to investigate the relationship between etching parameters and surface defects. The photo-enhanced chemical etching technique was developed by using a He-Cd laser as the light source. This thesis discusses the relationship among etching photo-current, etching time, etching rate, different consistency, and thermal pretreatment by different gases. The experimental results show that the best etching rate at pH value is 1.14. When the hydrogen gas passes through the GaN layer, the gas increases the etching rate. However, an opposite result is observed when hydrogen gas is replaced by nitrogen gas.
Style APA, Harvard, Vancouver, ISO itp.
38

Li, Jian-Yi, i 李建宜. "MEMS Fabrication Using Wet Etching for Millimeter-Wave Filter Applications". Thesis, 2005. http://ndltd.ncl.edu.tw/handle/45019450771308936968.

Pełny tekst źródła
Streszczenie:
碩士
國立成功大學
微電子工程研究所碩博士班
93
Membrane-based circuits are typically long because the effective dielectric constant is close to 1.0 by using microelectromechanical system (MEMS) technology. In addition, removal of silicon substrate eliminates any loss associated directly with the dielectric and any dispersion related to the dielectric / air interface, and allows a single mode TEM propagation over a very broad bandwidth. The dielectric membrane and the surrounding cavities are built using wet etching in silicon wafers. With low-cost IC fabrication techniques, a two-layer structure of Si3N4/SiO2 is deposited on a high-resistivity silicon substrate using plasma-enhanced chemical vapor deposition (PECVD). After dielectric layer is deposited on silicon, opening is defined on the back side of the wafer just underneath the specific components, and the silicon substrate is etched until the transparent dielectric membrane appears. The etching solution is KOH (30%). The planar components are defined on the membrane using a 2μm Al. MEMS fabrication using wet etching can be used for planar low-cost, high-Q, and low-loss millimeter-wave wireless communication system.
Style APA, Harvard, Vancouver, ISO itp.
39

Lin, Yu-Yuan, i 林毓源. "Wet etching process and properties of single-crystalline silicon nanowires". Thesis, 2012. http://ndltd.ncl.edu.tw/handle/03790517969831107366.

Pełny tekst źródła
Streszczenie:
碩士
國立中央大學
化學工程與材料工程研究所
100
In the present study, we have demonstrated that large-area, length-tunable arrays of vertically aligned Si nanowire were successfully produced on (001)Si and (111)Si substrates by using the PS nanosphere lithography combined with the Au-assisted selective chemical etching process. The diameter of the Si nanowire produced was very uniform and observed to be approximately 120 nm. Based on the analyses of the TEM image and the corresponding SAED patterns, it can be concluded that the Si nanowires produced have single-crystalline nature and form along the [001] and/or [111] directions. In order to further modulate the morphologies of the Si nanowires, a post wet etching process with a dilute KOH solution was developed. In this work, the tapering process was performed at 20℃ for various etching time. The length and width of Si nanowires can be controlled and reduced by adjusting the KOH etching duration. After appropriate KOH etching, the diameter of the Si nanowire tips can be reduced from 120 nm to about 12 nm. Field emission measurements showed that the KOH-etched Si nanowires exhibited significantly improved field emission properties compared to the as-produced Si nanowires. In the study, a low turn-on field of 1.21 V/μm was obtained, and the corresponding field enhancement factor, β value, was greatly enhanced to as high as 8127. For the gas sensing experiments, three kinds of samples, blank-Si wafer, Si nanowires, and porous Si nanowires, were prepared and used as the gas sensing in this study. Their gas sensing properties towards water vapor, ethanol, and acetone were investigated at room temperature. The measurement results clearly show that the response magnitudes of the three kinds of sensors improved significantly with increasing the gas concentrations. Whether exposed to water vapor, ethanol, or acetone, the sensitivity of the porous Si nanowires sensor is much higher than that of the blank-Si and Si nanowires sensors. In this work, the sensitivity of the porous Si nanowires sensor reaches as high as 9.7% for 11 ppm acetone. The enhanced sensing performances of the porous Si nanowires sensor can be attributed to its high surface-to-volume ratio.
Style APA, Harvard, Vancouver, ISO itp.
40

Lai, Yung-Yu, i 賴永裕. "The Study of Chemical Wet Etching on GaN Epi-layer". Thesis, 2013. http://ndltd.ncl.edu.tw/handle/86721184869275920065.

Pełny tekst źródła
Streszczenie:
碩士
淡江大學
化學工程與材料工程學系碩士班
101
This study use the different etchants to do wet-etching process on the un-doped GaN epi-layer on sapphire substrate. We investigate in detail about the depth and morphology by different etching conditions. In this experiment, metal-organic chemical vapor deposition (MOCVD) was used to regrow un-doped GaN on sapphire substrate. And then, c-plane GaN epi-layer was used to the basic substrate for etching study. The different polarities face have respective phenomenon in etching process. Therefore, this study will discuss the different etching behaviors of GaN epi-layer. Finally, we found that molten KOH will etch the plane of (101 ¯2) and (101 ¯1 ¯ ) at 180°C. The etching will get more energy to do shrinkage, when we enhance the temperature to 260°C, and then the plane will change to (11 ¯00) and (101 ¯1 ¯ ). The H3PO4 will etch the plane of (11 ¯00) and (101 ¯1 ¯ ) at 180°C and 260°C. And then, the H3PO4+H2SO4 will etch the plane (101 ¯1 ¯ ) only at 180°C and 260°C.
Style APA, Harvard, Vancouver, ISO itp.
41

Li, Shao-Huang, i 李劭皇. "Study on Selective Wet-chemical Etching for GaSb and InAsPSb". Thesis, 2013. http://ndltd.ncl.edu.tw/handle/30247397869814890676.

Pełny tekst źródła
Streszczenie:
碩士
國立臺灣大學
電子工程學研究所
101
In this thesis, a series of InAsPSb with different composition was grown on (100) n-type GaSb substrate by a GSMBE system. In order to find a selective wet-chemical etchant, we study the characteristics of hydrochloric acid-based and hydrofluoric acid-based solutions etchant for InAsPSb and GaSb. For hydrochloric acid-based solution, the influence of oxidizing agent on etch rate was studied. We found that etching rate of GaSb with hydrochloric acid-based solution is a linear function of the volume H2O2. Besides, we investigate the dependence between the etch rate, temperature and composition of InAsPSb. For hydrofluoric acid-based solution, we also investigate the dependence between the etch rate and composition of InAsPSb. Furthermore, we found there is significant selectivity about 159.75 between GaSb and InAs0.48P0.36Sb0.16, indicating that solution will be very useful in the fabrication of devices based on InAsPSb/GaSb heterostructure. Then we successfully fabricated a microdisk structure by using the hydrofluoric acid-based solution.
Style APA, Harvard, Vancouver, ISO itp.
42

Lin, Hong-yu, i 林鴻宇. "The etchant screen effect of sapphire surface atoms on wet etching pattern formation behavior and application". Thesis, 2014. http://ndltd.ncl.edu.tw/handle/pnp7g3.

Pełny tekst źródła
Streszczenie:
博士
國立中央大學
化學工程與材料工程學系
102
This thesis is about sapphire pattern formation behavior and application of natural patterned sapphire substrate (n-PSS). In the 1st part, we pre-dissolving Al3+ into etching solution to produce PSS, and this process is named as novel n-PSS fabrication process. After MOCVD epitaxy on these sapphire substrates, we use XRD and Raman to analysis GaN thin film quality. We find out that GaN on patterned sapphire substrate (PSS) has lower dislocation density. The bare chip output power increases with pattern coverage. After white light package, LED chips have different output power drop ratio on different sapphire substrate. After analysis, we think that the drop ratio depends on the LEE enhancement by package, and the enhancement increases with broadness of bare chip emission pattern. In novel n-PSS fabrication process, the pre-dissolving Al3+ is an important factor. In the 2nd part, we pre-dissolve different Al2O3 and observe the natural hard mask, alunogen and pattern morphology. The number of alunogen cubic increases with pre-dissolving amount. However, the alunogen amount decreases with pre-dissolving amount, because H2O is consumed too much. The morphology of region effected by alunogen is pyramid, and the base of pattern is curved triangular pyramid. From reference and previous experiment result, PSS morphology is usually studied by crystallography, but it can’t explain the curved triangular pyramid formation. We use atomic model and etchant screen effect to explain the sapphire pattern formation behavior well.
Style APA, Harvard, Vancouver, ISO itp.
43

Stateikina, Irina. "Mechanism of wet anisotropic etching of silicon for nano-scale applications". Thesis, 2007. http://spectrum.library.concordia.ca/975298/1/NR30139.pdf.

Pełny tekst źródła
Streszczenie:
The fabrication processes of recent MEMS devices require the use of anisotropic etching and variety of concave structures. Analysis of these structures uncovered phenomenon in the etch rates of surfaces exposed by anisotropic etchant. This phenomenon could not be explained without consideration of the composition of these surfaces on atomic level. My study raised the step-based modeling of these planes, their relative interactions, and dependence on the etching environment. Control of this environment and better understanding of the different factors that influence the etch rates of these surfaces is the main theme of my work. To help with the analysis of the studied surfaces a set of the experiments was done using a wagon-wheel pattern that provided the necessary assortment of concave structures for the purpose of this research. A mathematical model was built to help understand the processes that are responsible for anomalies in the etch rates and profiles of surfaces exposed on sidewalls of spokes in the wagon-wheel experiment. Detailed examination of the profiles of the surfaces and their relative location within the same concave structure suggested the possibility of application of these surfaces in creation of different patterns for nano-applications. The major concern is the control of etch rates of these planes in order to achieve the necessary precision for the application on such scale. Light illumination of the etched surfaces is analyzed as a possible component in providing the necessary level of control. Influence of the light intensity and different wavelengths is studied with the thought of application of the respective parameters in order to achieve a satisfactory control over the etch rates of illuminated surfaces.
Style APA, Harvard, Vancouver, ISO itp.
44

Lu, Chun-Nan, i 盧俊男. "A Study of Fabrication for Micro Structures by Anisotropic Wet Etching". Thesis, 2000. http://ndltd.ncl.edu.tw/handle/55800428844537172408.

Pełny tekst źródła
Streszczenie:
碩士
國立交通大學
電子工程系
88
In order to implement the MEMS devices on the silicon wafers, it's necessary to fabricate various microstructures. In this thesis, we employed the anisotropic wet-etching process to develop the crystallographic- orientation alignment technology, the etch-stop technology, and the corner compensation technology. We used photolithography and etch processes to transfer the various designed photomask patterns onto {100} silicon wafers, which would be etched in the aqueous KOH until the desired microstructures have been achieved. In this experiment, we achieved the following structures: (1) a pre-etching pattern to determinate the crystallographic orientation with resolution 0.00625°, (2) a cantilever beam with aspect ratio 12.5 and length 1cm, (3) a 50μm thick silicon membrane using laser-controlled etch-stop technology, (4) a convex-corner structure, (5) a approximate semi-cylindrical structure.
Style APA, Harvard, Vancouver, ISO itp.
45

Hsu, Chew-Wei, i 許晁瑋. "InGaN based MSM Photodetectors Fabricated by Photoenhanced Chemical Wet Etching Technique". Thesis, 2008. http://ndltd.ncl.edu.tw/handle/34099709980978028301.

Pełny tekst źródła
Streszczenie:
碩士
國立成功大學
奈米科技暨微系統工程研究所
96
Wild band gap material such as III-V compound are attracted in well electronic property of high chemical stability, high mobility, high thermal stability, and high breakdown voltage. The structure of InGaN based MSM photodetectors are epitaxied on sapphire substrate by MOCVD system. As result of the high lattice mismatch between InGaN and sapphire, the epitaxal quality is poor. Thus, the objective of this research is to design the appropriate device structure for InGaN based MSM, MOS, and heterojunction PDs to improve the device performance. On the other hand, InGaN based PDs with the recessed electrode is fabricated by PEC etching technique. Expectably, the device performance of recessed electrode PDs will be enhanced by the uniform electric field. For the experiment one, the dark current of MSM PDs is very high due to the poor expitaxal quality. Then, InGaN based MOS PDs are fabricated in order to decrease the dark current. At a bias of 3 V, the photocurrent to dark current contrast ratio of InxGa1-xN (x=0.37, 0.60, and 0.85) is about 6.7, 10.7, and 47.9, respectively. However, the photocurrent to dark current contrast ratio of MOS PDs is only 1~2 times higher than MSM PDs that is due to the oxide layer decreases both of photocurrent and dark current in the meantime. Therefore, the thin SiO2 film is replaced with α-Si to enhance the photocurrent to dark current contrast ratio. For the Pt/α-Si /InxGa1-xN (x=0.37, 0.60, and 0.85) heterojunction PDs, the dark current is about 10-7 ~10-8 A, and the photocurrent to dark current contrast ratio is 84.8~194.3 times larger than MSM PDs, and the cut-off wavelength is 470, 640, and 810 nm, respectively. Then Schottky barrier height is measured about 0.92, 0.76, and 0.69 eV, respectively. The Pt/α-Si contact is confirmed to improve the performance of the InxGa1-xN (x=0.37, 0.60, 0.85) based heterojunction PDs. For the experiment two, the recessed electrode MSM PDs is designed to enhance the device performance by the uniform electric field. The recessed electrode PDs is fabricated by the PEC etching technique, and the roughness of active layer is 31 % lower than as-grown material, which means the etching damage is low. For Pt/InxGa1-xN (x=0.37, 0.60, and 0.85) recessed electrode MSM PDs, the photocurrent to dark current contrast ratios are 480.6, 228.8, and 2208.7, respectively. It is found that the improvement of the photocurrent to dark current contrast ratios are 55.8~94.4 times higher for recessed electrode MSM PDs than planar MSM PDs. Compared with the ICP etching technique, it is found that the improvement of the photocurrent to dark current contrast ratio by PEC technique is 2.66 times higher than ICP process. Therefore, the design for recessed electrode MSM PDs by PEC etching is confirmed to enhance the device performance.
Style APA, Harvard, Vancouver, ISO itp.
46

Huang, Ter-Chang, i 黃德昌. "Miniaturization of Micro Pressure Sensor by Combining Dry and Wet Etching". Thesis, 2005. http://ndltd.ncl.edu.tw/handle/55916068201536691115.

Pełny tekst źródła
Streszczenie:
碩士
國立交通大學
工學院碩士在職專班精密與自動化工程學程
93
In this research, silicon piezo-resistive pressure sensor were fabricated by the combining dry and wet silicon etching method. The combining etching method can reduce the pressure sensor chip size fifty-seven percent. That is to say, the combining silicon etching wafers can yield approximately two times the number of chips than typically silicon wet etched wafers. The combining silicon etching have two major process. First step, to use the inductively coupled plasma etching equipment etch about eighty percent depth of the wafer thickness. Second step, to put electro-chemical etch stop technology in used. Make sure the sensing diaphragm’s thickness were achieved the goal of the design. In this study, the chip size after sawing is 1080μm*1080μm*800μm. The sensor sensitivity is 0.324 mv/v/Psi. the sensitivity result is grater than the estimated data by finite elements method about 4.5 percents. The variation of sensitivity resulted largely from the 0.3μm difference of the diaphragm thickness.
Style APA, Harvard, Vancouver, ISO itp.
47

Li, Chi-Hua, i 李其樺. "The modification of electric properties of buckypaper by wet etching process". Thesis, 2014. http://ndltd.ncl.edu.tw/handle/56230448073850402682.

Pełny tekst źródła
Streszczenie:
碩士
東海大學
電機工程學系
102
Since their discovery, carbon nanotubes (CNTs) have attracted the attention of many scientists around the world. This extraordinary interest stems from their outstanding structural, mechanical, and electronic properties. Recent advances in the development of reliable methods for the chemical functionalization of the nanotubes provide an additional impetus towards extending the scope of their application spectrum. This study deals with the multiwalled CNTs (MWCNTs) suspension solution, to achieve its excellent electrical properties of carbon nanotubes in the macroscale, with the bottom-up approach to form into thin CNT paper called as buckypaper (BP). The chemical modification is then limited mostly to the opening of the tube caps and the formation of functional groups at defect sites along the sidewalls. In this study, the buckypaper was functionalized with an aqueous acid solution of nitric acid, sulfuric acid and phosphoric acid with different concentrations (10 wt.%, 30 wt.% and 50 wt.%) by wet etching method. It is performed to change the electrical characteristics, where the resistance decreased by 43% for BP sample treated with 10 wt.% of nitric acid for 90 min. The pure buckypaper with p-type carriers become n-type, after the treatment for all the acids at higher treatment time of 150 min and it was measured with four-point Hall measurements. The change in the morphology, CNT surface defects and its composition was illustrated by SEM and EDS analyses. The vibrational properties and the presence of carboxylic groups, after the acid treatment was acquired by the Raman and FTIR spectroscopy. We found a lower frequency shift in the D-band of Raman spectra from 1353 cm-1 for pure BP to 1337 cm-1, 1340 cm-1 and 1330 cm-1 for HNO3, H2SO4, and H3PO4 acid treated BP samples respectively. I hope in the future applications of carbon materials can replace the existing silicon material more suitable for use in the production of various flexible and portable electronic products such as sensors, solar cells, light-emitting diodes, etc.
Style APA, Harvard, Vancouver, ISO itp.
48

MIAO, JIN-RU, i 苗金儒. "Computer simulation of morphological formation and evolution during wet chemical etching". Thesis, 2017. http://ndltd.ncl.edu.tw/handle/13124553219793787290.

Pełny tekst źródła
Streszczenie:
碩士
國立臺南大學
材料科學系碩士班
105
The technique of wet chemical etching has been applied in many fields, especially in semiconductor industry, due to its low cost, convenience, and controllability. With the decrease in the size of the electronic devises, the precise fabrication of complicated patterns and morphologies on a smaller chip has become an important issue. In order to manufacture a specific morphology suitable for the functional devices, all the processing parameters, such as the species, concentration and temperature of the etchant, need to be well controlled. In this study, a chemical etching model based on a phase field approach was established to simulate the evolution of surface morphology during the etching process. By controlling the experiment parameters such as the reaction rate, temperature, and concentration of etchant in the numerical model, the effect of formation and evolution of surface morphology can be realized. Furthermore, to simulate the real situation of the real substrate more accurately, we introduced the surface defects into the model to investigate the morphological variations due to the density of defects during wet chemical etching. A numerical simulation of the metal assisted chemical etching (M.A.C.E.) was also included in this research. In the theoretical calculations of M.A.C.E., several parameter, for instance the particle size, the amount of particles, and the array of particles, were tailored to demonstrate the influence on the formation and evolution of surface structures. Finally, a simple mask compensation design was applied to avoid undercutting. With these results simulated by phase field model, a better understanding on the underlying mechanisms of morphological formation and evolution during wet chemical etching process was provide in this study.
Style APA, Harvard, Vancouver, ISO itp.
49

Li, Heng-Hsu, i 李恒旭. "Characterization of Textured Aluminum Doped Zinc Oxide Films by Wet Etching". Thesis, 2009. http://ndltd.ncl.edu.tw/handle/05431031761136699475.

Pełny tekst źródła
Streszczenie:
碩士
崑山科技大學
電機工程研究所
97
This project provides an overview of surface texturing of aluminum doped zinc oxide (AZO) films by wet etching. For thin films solar cell application, the light passed through solar cells reduced reflectance to permeate into absorb layer of solar cells improve the conversion efficiencies when using solar cells as transparent electrode. In this work, acidic (HCI) and alkaline solutions (KOH) were used as etching solutions from the etching behavior. Four sample AZO films (A50、A150、AH50、AH150) were produced from four different recipe with in-line sputtering. Four sample films were separately etched with different etching solution concentration and time. The optical transmittance of the etched samples were measured by a spectrophotometer, scanning electron microscopy (SEM) and four-point probe. Optical properties of films etched by KOH solution are better than those etched by HCl. The film A50 was etched for 30 sec by 33wt% KOH solution. The average transmittance 81.98% was increased to 83.15% while the average reflectance 16.59% was reduced to 9.05%. Compared with the original reflectance, 45% decrece is accomplished. In addition, the films of A150 and AH50 were etched for 100 sec by a 5wt% KOH solution. The existing defects on the surface of thin films were getting improved. Average transmittances of 87.23% and 87.32% were increased to 90.7% and 90.06%, respectively and average reflectance 12.43% and 12.78% was reduced to 7.79% and 10.78%. The film of AH150 was etched for 30 sec by a 33wt% KOH solution. Average transmittance 90.39% was increased to 96.38%. Compared with the original reflectance, 6% increase is accomplished. AH150 film is the most improved transmittance of all films and the average reflectance 12.98% was reduced to 9.58%. As above mentioned, it could be improved the optics characterization of films efficiently by textured aluminium doped zinc oxide films by wet etching. Using solar cells as transparent electrode enables the light passed through solar cells and reduceds reflectance to permeate into absorb layer of solar cells and improve conversion efficiencies of solar cells.
Style APA, Harvard, Vancouver, ISO itp.
50

Böhme, Rico [Verfasser]. "Laser induced backside wet etching of glasses and crystals / von Rico Böhme". 2007. http://d-nb.info/985935650/34.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
Oferujemy zniżki na wszystkie plany premium dla autorów, których prace zostały uwzględnione w tematycznych zestawieniach literatury. Skontaktuj się z nami, aby uzyskać unikalny kod promocyjny!

Do bibliografii