Gotowa bibliografia na temat „Wet etching”

Utwórz poprawne odniesienie w stylach APA, MLA, Chicago, Harvard i wielu innych

Wybierz rodzaj źródła:

Zobacz listy aktualnych artykułów, książek, rozpraw, streszczeń i innych źródeł naukowych na temat „Wet etching”.

Przycisk „Dodaj do bibliografii” jest dostępny obok każdej pracy w bibliografii. Użyj go – a my automatycznie utworzymy odniesienie bibliograficzne do wybranej pracy w stylu cytowania, którego potrzebujesz: APA, MLA, Harvard, Chicago, Vancouver itp.

Możesz również pobrać pełny tekst publikacji naukowej w formacie „.pdf” i przeczytać adnotację do pracy online, jeśli odpowiednie parametry są dostępne w metadanych.

Artykuły w czasopismach na temat "Wet etching"

1

Li, Hao, Yong You Geng i Yi Qun Wu. "Selective Wet Etching Characteristics of Aginsbte Phase Change Film with Ammonium Sulfide Solution". Advanced Materials Research 529 (czerwiec 2012): 388–93. http://dx.doi.org/10.4028/www.scientific.net/amr.529.388.

Pełny tekst źródła
Streszczenie:
The selective wet etching characteristics of AgInSbTe film as a new thermal lithography material were studied with ammonium sulfide solution as etchant. Influences of vacuum-annealing temperature, etchant concentration and wet etching time on selective wet etching characteristics of the amorphous and crystalline AgInSbTe films were investigated. Experimental results indicated that the etching rate of AgInSbTe film increased with the enhancement of crystallization extent, and the etching rate of crystalline state AgInSbTe film annealed at 300°C was 35nm/min in 17wt% ammonium sulfide solution, about 17.5 times as high as that of the amorphous state. Moreover, a good surface morphology of AgInSbTe film with roughness of less than 3 nm was attained in the area of 10×10 μm2 after wet-etching. The wet etching selectivity of the AgInSbTe film was strongly influenced by the annealing temperature and the etchant concentration.
Style APA, Harvard, Vancouver, ISO itp.
2

KATO, Kazunori. "Application of Wet Etching. Wet Etching-Theory and Application." Journal of the Surface Finishing Society of Japan 49, nr 10 (1998): 1031–37. http://dx.doi.org/10.4139/sfj.49.1031.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
3

Yusoh, Siti Noorhaniah, i Khatijah Aisha Yaacob. "Effect of tetramethylammonium hydroxide/isopropyl alcohol wet etching on geometry and surface roughness of silicon nanowires fabricated by AFM lithography". Beilstein Journal of Nanotechnology 7 (17.10.2016): 1461–70. http://dx.doi.org/10.3762/bjnano.7.138.

Pełny tekst źródła
Streszczenie:
The optimization of etchant parameters in wet etching plays an important role in the fabrication of semiconductor devices. Wet etching of tetramethylammonium hydroxide (TMAH)/isopropyl alcohol (IPA) on silicon nanowires fabricated by AFM lithography is studied herein. TMAH (25 wt %) with different IPA concentrations (0, 10, 20, and 30 vol %) and etching time durations (30, 40, and 50 s) were investigated. The relationships between etching depth and width, and etching rate and surface roughness of silicon nanowires were characterized in detail using atomic force microscopy (AFM). The obtained results indicate that increased IPA concentration in TMAH produced greater width of the silicon nanowires with a smooth surface. It was also observed that the use of a longer etching time causes more unmasked silicon layers to be removed. Importantly, throughout this study, wet etching with optimized parameters can be applied in the design of the devices with excellent performance for many applications.
Style APA, Harvard, Vancouver, ISO itp.
4

Ding, Jingxiu, Ruipeng Zhang, Yuchun Li, David Wei Zhang i Hongliang Lu. "Investigation of a Macromolecular Additive on the Decrease of the Aluminum Horizontal Etching Rate in the Wet Etching Process". Metals 12, nr 5 (8.05.2022): 813. http://dx.doi.org/10.3390/met12050813.

Pełny tekst źródła
Streszczenie:
The effect of a macromolecular additive on the etching rate of aluminum (Al) horizontal etching in the wet process was investigated in this work. The horizontal etching in the Al wet etching process became more evident as the film Al becomes thicker. The proposed macromolecule additive, including polyethylene-polypropylene glycol, was added into the Al etchant solution to reduce the Al horizontal etching rate (ER). The undercut problem during metal patterning can then be improved. By using this method, the Al horizontal ER was reduced from 2.0 to 0.9 μm per minute and the selection ratio between the horizontal and vertical ER was effectively improved from 3 to 1.3 times. As well, a hypothesis of physical mechanism for the improvement was proposed. The dispersed particles from the additive emulsion inhibited the transport and exchange of liquid in a horizontal direction. This work provides an alternative reference to improve the selection ratio performance in the metal wet etching process compared with that when using traditional method.
Style APA, Harvard, Vancouver, ISO itp.
5

Ueda, Dai, Yousuke Hanawa, Hiroaki Kitagawa, Naozumi Fujiwara, Masayuki Otsuji, Hiroaki Takahashi i Kazuhiro Fukami. "Effect of Hydrophobicity and Surface Potential of Silicon on SiO2 Etching in Nanometer-Sized Narrow Spaces". Solid State Phenomena 314 (luty 2021): 155–60. http://dx.doi.org/10.4028/www.scientific.net/ssp.314.155.

Pełny tekst źródła
Streszczenie:
Wet etching in nanometer-sized three-dimensional spaces creates new challengesbecause of the scaling of semiconductor devices with complex 3D architecture. Wet etching withinspaces is affected by the mass transport of the etchant ions that are impacted by the hydrophobicityand surface potential of surface. However, the kinetics of chemical reactions within the spaces is stillunclear.In this paper, we studied the effect of hydrophobicity and surface potential of silicon surface on SiO2etching in nanometer-sized narrow spaces by adding various additive components to etching solutions.We found that the transport of etchant ions into narrow spaces is governed by controlling thehydrophobicity and surface potential of the confined system walls.
Style APA, Harvard, Vancouver, ISO itp.
6

Çakır, Orhan. "Review of Etchants for Copper and its Alloys in Wet Etching Processes". Key Engineering Materials 364-366 (grudzień 2007): 460–65. http://dx.doi.org/10.4028/www.scientific.net/kem.364-366.460.

Pełny tekst źródła
Streszczenie:
Wet etching processes have been widely used for producing micro-components for various applications. These processes are simple and easy to implement. The selection of suitable chemical solution which is called etchant is the most important factor in the wet etching processes. It affects etch rate and surface finish quality. Copper and its alloys are important commercial materials in various industries, especially in electronics industry. Their wide applications are due to their excellent electrical and thermal conductivity, ease of fabrication, good strength and fatigue properties. The present study examines the possible etchants for copper and its alloys and reviews studies in detail to find out optimum etchant and its application parameters. The study is also aimed to provide information about safety, health and environmental issues caused by using various etchants in wet etching processes of copper and copper alloys.
Style APA, Harvard, Vancouver, ISO itp.
7

Rahim, Rosminazuin A., Badariah Bais i Majlis Burhanuddin Yeop. "Simple Microcantilever Release Process of Silicon Piezoresistive Microcantilever Sensor Using Wet Etching". Applied Mechanics and Materials 660 (październik 2014): 894–98. http://dx.doi.org/10.4028/www.scientific.net/amm.660.894.

Pełny tekst źródła
Streszczenie:
In this paper, a simple microcantilever release process using anisotropic wet etching is presented. The microcantilever release is conducted at the final stage of the fabrication of piezoresistive microcantilever sensor. Issues related to microcantilever release such as microscopic roughness and macroscopic roughness has been resolved using simple technique. By utilizing silicon oxide (SiO2) as the etch stop for the wet etching process, issues related to microscopic roughness can be eliminated. On the other hand, proper etching procedure with constant stirring of the etchant solution of KOH anisotropic etching significantly reduces the notching effect contributed by the macroscopic roughness. Upon the completion of microcantilever release, suspended microcantilever of 2μm thick is realized with the removal of SiO2layer using Buffered Oxide Etching (BOE).
Style APA, Harvard, Vancouver, ISO itp.
8

Rath, P., J. C. Chai, Y. C. Lam, V. M. Murukeshan i H. Zheng. "A Total Concentration Fixed-Grid Method for Two-Dimensional Wet Chemical Etching". Journal of Heat Transfer 129, nr 4 (21.10.2006): 509–16. http://dx.doi.org/10.1115/1.2709654.

Pełny tekst źródła
Streszczenie:
A total concentration fixed-grid method is presented in this paper to model the two-dimensional wet chemical etching. Two limiting cases are discussed, namely—the diffusion-controlled etching and the reaction-controlled etching. A total concentration, which is the sum of the unreacted and the reacted etchant concentrations, is defined. Using this newly defined total concentration, the governing equation also contains the interface condition. A new update procedure for the reacted concentration is formulated. For demonstration, the finite-volume method is used to solve the governing equation with prescribed initial and boundary conditions. The effects of reaction rate at the etchant–substrate interface are examined. The results obtained using the total concentration method, are compared with available results from the literature.
Style APA, Harvard, Vancouver, ISO itp.
9

Philipsen, Harold, Sander Teck, Nils Mouwen, Wouter Monnens i Quoc Toan Le. "Wet-Chemical Etching of Ruthenium in Acidic Ce4+ Solution". Solid State Phenomena 282 (sierpień 2018): 284–87. http://dx.doi.org/10.4028/www.scientific.net/ssp.282.284.

Pełny tekst źródła
Streszczenie:
The wet-chemical etching of ruthenium in acidic solutions of cerium (IV) has been investigated using electrochemical methods. Etch rates were determined using Rutherford backscattering spectroscopy (RBS) and post-etching surface roughness was investigated using atomic force microscopy (AFM). Low-k material is compatible with the etchant, however, residues were formed.
Style APA, Harvard, Vancouver, ISO itp.
10

Shimozono, Naoki, Mikinori Nagano, Takaaki Tabata i Kazuya Yamamura. "Study on In Situ Etching Rate Monitoring in Numerically Controlled Local Wet Etching". Key Engineering Materials 523-524 (listopad 2012): 34–39. http://dx.doi.org/10.4028/www.scientific.net/kem.523-524.34.

Pełny tekst źródła
Streszczenie:
Numerically controlled local wet etching (NC-LWE) is very promising technique for deterministic figuring of ultraprecision optical devices, such as aspherical lens, photo mask substrate and X-ray or neutron focusing mirror. NC-LWE technique is non-contact removal process using chemical reaction between etchant and surface of workpiece, so this technique enables us to figure the objective shape without introduction both substrate deformation and sub-surface damage. It is essential to measure temperature and concentration of the etchant to maintain the material removal rate constant over a processing time, since the etching rate of NC-LWE strongly depends on these parameters. Hydrofluoric (HF) acid solution is used as an etchant for synthesized quartz glass. We aim to develop an in situ monitoring system of etchant concentration using Raman spectroscopy and electric conductivity measurement. Raman spectroscopy measurement result indicates that there is a good linear relationship between HF concentration and intensity ratio of two specific Raman bands.
Style APA, Harvard, Vancouver, ISO itp.

Rozprawy doktorskie na temat "Wet etching"

1

Edström, Curt. "Wet etching of optical thin films". Thesis, Tekniska Högskolan, Högskolan i Jönköping, JTH, Kemiteknik, 2010. http://urn.kb.se/resolve?urn=urn:nbn:se:hj:diva-13988.

Pełny tekst źródła
Streszczenie:
Evaluation of the wet etching properties of several different thin film oxidesgrown by physical vapour deposition was performed in this work. MgO, Al2O3,SiO2, TiO2, HfO2 ZrO2 and Y2O3 were coated on two types of substrates; Si andborosilicate glass and etching tests were performed in different etchingsolutions. MgF2 thin films have also been evaluated. Important aspects of the choice of the thin films was taken into account in orderto match to good optical properties such as refractive index (n), extinction coefficient (k) and optical thickness (TP) as well as good chemical properties in the wet etching process. A description is made of the physics of optical filters and how a combination of different oxides stacked onto each other can create interference filters. A description of the manufacturing process of the thin films where physical vapour deposition (PVD) was used is presented. Thermal shift of the optical spectra caused by porous coatings was investigated and analyses of the thin films by ellipsometry, surface profilometry and transmission spectrophotometry have been performed. The wet etching properties were evaluated by monitoring the transmission insituon transparent borosilicate glass substrates. A method of how to measure the wet etching rate for different thin films is described. A computer software was used to calculate the Pourbaix diagrams in order to understand the chemical behaviour of the etching solutions. The pH can have a significant impact on the etching behaviour. In case of TiO2, it can be dissolved in an alkaline solution of H2O2. The catalytically process behind this is evaluated. Etching rate for both Y2O3 andSiO2 were matched by adjusting the etchant concentration as a case example. The group IVB oxides are difficult to etch. The catalytic etching of TiO2 with peroxide is slow but detectable. Al2O3, Y2O3 and MgO are reasonably easy to etch but have too low refractive indices to be useful in multilayer optical filters. The In-situ etching instrument was found to be very useful for measuring etching rates.
Utvärdering av våtkemiska egenskaper för flera olika oxidtunnfilmer utfördes idetta arbete på tunnfilmer av MgO, Al2O3, SiO2, TiO2, HfO2 ZrO2 and Y2O3 vakuumdeponerade på både kiselwafers och borosilikatglas. Etstester gjordes med ett flertal etslösningar. Även MgF2-tunnfilmer utvärderades. Både optiska och kemiska egenskaper togs i beaktande vid utvärderingen av tunnfilmerna. De optiska lagar som gäller för tunnfilmer redovisas, bl a hur kombinationer av olika oxider kan skapa interferrensfilter. En beskrivning av tillverkningsprocessen varvid PVD användes presenteras. Termiskt skift av det optiska transmissionsspektrat orsakat av porositet undersöktes. Analyser av tunnfilmerna med ellipsometri, profilometri och transmissions spektroskopi utfördes. Våtetsningsegenskaperna utvärderades genom att mäta in-situ vid etsprocessen på transparenta borosilikatglassubstrat. Metoden för att mäta etshastigheten för olika oxider är beskriven. Datorberäkningar av pourbaixdiagram användes för att skapa en förståelse av de kemiska egenskaperna för etslösningarna. Etsegenskaperna påverkas till stordel av lösningens pH. TiO2 kan etsas i basisk lösning av peroxid. Denna process utvärderades, likaså utvärderades etshasigheten för Y2O3 och SiO2 för att erhålla matchande par avoxider som en fallstudie. Grupp IVB oxiderna är mycket svåra att etsa. Katalytisk etsning av TiO2 med peroxid är detekterbar men långsam. Al2O3, Y2O3 och MgO är förhållandevis enkla att etsa men har för låga brytningsindex för att var praktiskt använbara i optiska multilagerfilter. In-situ etsinstrumentet befanns vara ett utmärkt verktyg för att mäta etshastigheten för tunnfilmer.
Style APA, Harvard, Vancouver, ISO itp.
2

Duan, Xuefeng 1981. "Microfabrication : using bulk wet etching with TMAH". Thesis, McGill University, 2005. http://digitool.Library.McGill.CA:80/R/?func=dbin-jump-full&object_id=97942.

Pełny tekst źródła
Streszczenie:
In November 2002 a Microfabrication Lab was established in the physics department of McGill University to support research in nanoscience and technology. At the same time, I arrived at McGill to begin my graduate study. So I was assigned to do research on microfabrication, especially bulk wet etching of silicon using TetraMethyl Ammonium Hydroxide (TMAH).
The content of microfabrication is quite broad, and also very useful in both industry and academic. Since our fab is a newly built one and I had no experience in this area before, this thesis mainly included some basic processes in microfabrication, such as the photolithography, wet etching, reactive ion etching, and soon. Also it compared the wet etching with dry etching. Some results of TMAH wet etching were showed in the thesis, which agreed well with that of the other groups. A simulation program was developed to predict the etching result of TMAH and it appeared to work well. Finally, based on the knowledge and experience acquired, processes in making cantilever and tip structures, which are critical in the scanning probe microscopes, were developed. Silicon oxide cantilevers with length of 100-200 mum, width of 30-50 mum, and thickness of 1 mum were obtained. Pyramid like silicon tips were also fabricated using the wet etching.
Style APA, Harvard, Vancouver, ISO itp.
3

Pal, P., K. Sato, M. A. Gosalvez, M. Shikida i 一雄 佐藤. "An improved anisotropic wet etching process for the fabrication of silicon MEMS structures using a single etching mask". IEEE, 2008. http://hdl.handle.net/2237/11137.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
4

Cui, Ziruo. "Wet Etching Optical Fibers to Sub-micron Diameters for Sensing Application". University of Dayton / OhioLINK, 2014. http://rave.ohiolink.edu/etdc/view?acc_num=dayton1397801129.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
5

Dave, Neha H. (Neha Hemang). "Removal of metal oxide defects through improved semi-anisotropic wet etching process". Thesis, Massachusetts Institute of Technology, 2012. http://hdl.handle.net/1721.1/78167.

Pełny tekst źródła
Streszczenie:
Thesis (M. Eng. in Manufacturing)--Massachusetts Institute of Technology, Dept. of Mechanical Engineering, 2012.
Cataloged from PDF version of thesis.
Includes bibliographical references (p. 52).
Data recently collected from an industrial thin film manufacturer indicate that almost 8% of devices are rejected due to excess metal, or unwanted metal on the device surface. Experimentation and analysis suggest that almost half of these defects are caused by incomplete removal of nickel oxides that form on top of the conductive nickel surface throughout the heated environment of the upstream process. This study classified and identified the composition of these excess metal defects, evaluated recommended wet etch methods to remove nickel oxide, and finally proposes a wet etch process that will rapidly remove defects while continuing to maintain the desired semi-anisotropic etch profile, uncharacteristic of most wet immersion etch processes. Results attested that rapid exposure to dilute (40%) nitric acid followed by immediate immersion into a cleaning agent, proprietary nickel etchant, and titanium tungsten etchant removed all nickel oxide defects. Upon implementation, this method has the potential to reduce scrap due to excess metal by 3% and reduce overall etch process time by 25%. In addition, a process was developed to completely etch patterned substrates with high defect density mid process and rework them from raw substrates.
by Neha H. Dave.
M.Eng.in Manufacturing
Style APA, Harvard, Vancouver, ISO itp.
6

Lopes, Silvia Elisabeth Sauaia. "Sistema de controle microprocessado para tanques para Wet-etching/cleaning em microeletronica". [s.n.], 1996. http://repositorio.unicamp.br/jspui/handle/REPOSIP/259325.

Pełny tekst źródła
Streszczenie:
Orientador: Jose Antonio Siqueira Dias
Dissertação (mestrado) - Universidade Estadual de Campinas, Faculdade de Engenharia Eletrica
Made available in DSpace on 2018-07-21T10:43:13Z (GMT). No. of bitstreams: 1 Lopes_SilviaElisabethSauaia_M.pdf: 6529088 bytes, checksum: 94361c93b2fa30ab34622f13f5d2b5f3 (MD5) Previous issue date: 1996
Resumo: Tanques para banho à temperatura constante necessitam de um sistema de controle para monitoração e controle de sua temperatura de operação e demais funções. O controle da temperatura deve ser rígido e preciso; condições de alarme e desligamento automático devem ser previstos. O presente trabalho pretende estudar, implementar e testar um protótipo de um sistema de controle microprocessado para tais tanques. Este trabalho apresenta um controlador digital do tipo PID, baseado na arquitetura do microcontrolador 8051 da Intel, com aIto desempenho, robusto, eficiente e simples, características estas comprovadas através de testes práticos realizados no final do projeto
Abstract: Tanks for constant temperature bath need a temperature and related functions moni toring and control system. Temperature control must be constant and precise; alarm and automatic switching off conditions must be provided. This work is to study, implement and test a microprocessor controller's prototype for such tanks. This work presents a digital controller with a PID control scheme, based in the architecture of the Intel' s 8051 microcontroller, with high performance, strong, efficient and simple, characteristics verified through practical tests made at the end of the project
Mestrado
Mestre em Engenharia Elétrica
Style APA, Harvard, Vancouver, ISO itp.
7

Zhang, Nikai. "Planar Waveguide Solar Concentrator with Couplers Fabricated by Laser-Induced Backside Wet Etching". University of Toledo / OhioLINK, 2013. http://rave.ohiolink.edu/etdc/view?acc_num=toledo1384365115.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
8

Ghalichechian, Nima. "Integration of benzocyclobutene polymers and silicon micromachined structures fabricated with anisotropic wet etching". College Park, Md. : University of Maryland, 2005. http://hdl.handle.net/1903/2361.

Pełny tekst źródła
Streszczenie:
Thesis (M.S.) -- University of Maryland, College Park, 2005.
Thesis research directed by: Dept. of Electrical and Computer Engineering. Title from t.p. of PDF. Includes bibliographical references. Published by UMI Dissertation Services, Ann Arbor, Mich. Also available in paper.
Style APA, Harvard, Vancouver, ISO itp.
9

Zhuang, Dejin. "Wet etching studies of ALN bulk crystals and their sublimation growth by microwaves /". Search for this dissertation online, 2004. http://wwwlib.umi.com/cr/ksu/main.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
10

Sudirham, Janivita Joto. "Space-time discontinuous Galerkin methods for convection-diffusion problems application to wet-chemical etching /". Enschede : University of Twente [Host], 2005. http://doc.utwente.nl/50890.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.

Książki na temat "Wet etching"

1

Flora, Paul. Auf und davon: Radierungen. Hohenems: Bucher, 2009.

Znajdź pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
2

Horst, Janssen. Horst Janssen: Drawings and etchings, April 3 to May 12, 1990. New York: Claude Bernard Gallery, 1990.

Znajdź pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
3

Degenhardt, Gertrude. Gertrude Degenhardt, farewell to Connaught: 65 Kaltnadel-Radierungen von der irischen Westküste = 65 drypoint etchings of the Irish West Coast. Mainz: Edition GD, 1989.

Znajdź pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
4

1929-, Schack Gerhard, i Germanisches Nationalmuseum Nürnberg, red. Horst Janssen: The portrait, a selection from 1945 to 1994 : woodcuts, etchings, lithography, drawings, watercolours. [S.l.]: Verlag St. Gertrude, 1998.

Znajdź pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
5

Pissarro, Camille. Camille Pissarro: Impressionist innovator. Jerusalem: The Israel Museum, 1994.

Znajdź pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
6

Pissarro, Camille. Camille Pissarro: Obras de Venezuela y Francia en blanco y negro. Caracas: Museo de Bellas Artes, Banco Cenral de Venezuela, 1997.

Znajdź pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
7

Pissarro, Camille. Camille Pissarro: Ferrara, Palazzo dei diamanti, 15 febbraio-10 maggio 1998. Ferrara: Ferrara arte, 1998.

Znajdź pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
8

Cowern, R. T. A retrospective exhibition of etchings and water colours by R.T. Cowern R.A., R.E., RWA, 18 January-20 February 1988, Royal West of England Academy, Queen's Road, Clifton, Bristol. Bristol: Royal West of England Academy, 1988.

Znajdź pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
9

Mukherjee, Sayan D. Wet and Dry Etching Technology for Devices and Integrated Circuits (Iee Materials and Devices Series). Inspec/Iee, 1995.

Znajdź pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
10

Pissarro, Camille. Camille Pissarro: Letters to His Son Lucien. MFA Publications, 2002.

Znajdź pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.

Części książek na temat "Wet etching"

1

Cheng, Hua-Chi. "Wet Etching". W Handbook of Visual Display Technology, 1331–41. Cham: Springer International Publishing, 2016. http://dx.doi.org/10.1007/978-3-319-14346-0_59.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
2

Cheng, Hua-Chi. "Wet Etching". W Handbook of Visual Display Technology, 1–9. Berlin, Heidelberg: Springer Berlin Heidelberg, 2014. http://dx.doi.org/10.1007/978-3-642-35947-7_59-2.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
3

Cheng, Hua-Chi. "Wet Etching". W Handbook of Visual Display Technology, 861–70. Berlin, Heidelberg: Springer Berlin Heidelberg, 2012. http://dx.doi.org/10.1007/978-3-540-79567-4_59.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
4

Mann, Richard P., Avinash P. Nayak, M. Saif Islam, V. J. Logeeswaran, Edward Bormashenko, Kerry Allan Wilson i Frank Vollmer. "Wet Etching". W Encyclopedia of Nanotechnology, 2829–30. Dordrecht: Springer Netherlands, 2012. http://dx.doi.org/10.1007/978-90-481-9751-4_431.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
5

Longoni, Gianluca, Davide Assanelli i Cinzia De Marco. "Wet Etching and Cleaning". W Silicon Sensors and Actuators, 259–92. Cham: Springer International Publishing, 2022. http://dx.doi.org/10.1007/978-3-030-80135-9_9.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
6

Jodai, Kazuo. "Wet Etching Processes and Equipment". W Flat Panel Display Manufacturing, 311–18. Chichester, UK: John Wiley & Sons Ltd, 2018. http://dx.doi.org/10.1002/9781119161387.ch14_01.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
7

Sarangan, Andrew. "Wet Chemical and Plasma Etching". W Nanofabrication, 209–40. Boca Raton : CRC Press, Taylor & Francis Group, 2017. | Series: Optical sciences and applications of light: CRC Press, 2016. http://dx.doi.org/10.1201/9781315370514-7.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
8

Knotter, D. Martin. "The Chemistry of Wet Etching". W Handbook of Cleaning in Semiconductor Manufacturing, 95–141. Hoboken, NJ, USA: John Wiley & Sons, Inc., 2011. http://dx.doi.org/10.1002/9781118071748.ch3.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
9

Ombaba, Mathew, Salman B. Inayat i M. Saif Islam. "Wet Chemical and Electrochemical Etching Processes". W Encyclopedia of Nanotechnology, 1–9. Dordrecht: Springer Netherlands, 2015. http://dx.doi.org/10.1007/978-94-007-6178-0_431-2.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
10

Ombaba, Mathew, Salman B. Inayat i M. Saif Islam. "Wet Chemical and Electrochemical Etching Processes". W Encyclopedia of Nanotechnology, 4373–80. Dordrecht: Springer Netherlands, 2016. http://dx.doi.org/10.1007/978-94-017-9780-1_431.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.

Streszczenia konferencji na temat "Wet etching"

1

Parish, Giacinta, Paul A. Scali, Sue M. Spaargaren i Brett D. Nener. "Simple wet etching of GaN". W International Symposium on Microelectronics and MEMS, redaktorzy Jung-Chih Chiao, Lorenzo Faraone, H. Barry Harrison i Andrei M. Shkel. SPIE, 2001. http://dx.doi.org/10.1117/12.448955.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
2

Shayan, Mohsen, Behrooz Arezoo i Ali Amani. "Optimization of Concentration and Temperature of KOH Etchant on Micromachining Process". W ASME 2010 10th Biennial Conference on Engineering Systems Design and Analysis. ASMEDC, 2010. http://dx.doi.org/10.1115/esda2010-25226.

Pełny tekst źródła
Streszczenie:
Due to vast application of silicon wet etching in Micromachining and MEMS structure, investigation about parameters that have more influence on wet etch rate is indispensable. Wet etch rate is dependent to several factor such as temperature, etchant concentration and crystal orientation. Because of temperature and concentration are more controllable therefore the etch rates R{hkl} depend mainly on concentration and temperature of the etchant. Understanding the relation between this parameters and wet etch rate can assist us in order to control and optimization of micromachining process. This paper present a relation between etchant concentration and temperature and wet etch rate on (100) plane, and then identify the etchant concentration in a certain range of temperature as the wet etch rate be in optimal amount. With optimization the etch rate of wafer (100), necessary time for etching process reduces and this reduction of time can lead to reduction of undercutting at convex and concave corners.
Style APA, Harvard, Vancouver, ISO itp.
3

Lee, Suk Ho, Chan Hee Park, Seung Joon Cha, Eun Cheol Lee i Kyu Shik Hong. "Simple and Effective Technique of Backside Deprocessing of Thin Flip Chip Package". W ISTFA 2013. ASM International, 2013. http://dx.doi.org/10.31399/asm.cp.istfa2013p0501.

Pełny tekst źródła
Streszczenie:
Abstract This paper introduces a simple and effective technique of backside de-processing procedure. This technique reduces time and steps by simple wet etching. The front-side deprocessing requires many steps, such as wet and dry etching and parallel lapping, and also backside de-processing requires mechanical grinding to thin down the silicon thickness before wet etching. This paper introduces an effective way by skipping mechanical grinding and by etching at high temperature in case of thin flip chip. The backside silicon images are presented and compared after de-processing with TMAH and KOH which commonly have been used for bulk silicon etchant. The results show uniform backside images without any damage or residue. This backside de-processing technique was applied in two case studies to facilitate failure analysis.
Style APA, Harvard, Vancouver, ISO itp.
4

Rath, P., J. C. Chai, H. Y. Zheng, Y. C. Lam i V. M. Murukeshan. "A Total-Concentration Fixed-Grid Method for Two-Dimensional Diffusion-Controlled Wet Chemical Etching". W ASME 2005 Summer Heat Transfer Conference collocated with the ASME 2005 Pacific Rim Technical Conference and Exhibition on Integration and Packaging of MEMS, NEMS, and Electronic Systems. ASMEDC, 2005. http://dx.doi.org/10.1115/ht2005-72186.

Pełny tekst źródła
Streszczenie:
This article presents a total concentration method for two-dimensional wet chemical etching. The proposed procedure is a fixed-grid approach. It is analogous to the enthalpy method used for modeling melting/solidification problems. The governing equation is formulated using the total concentration of the etchant. It includes the reacted and the unreacted concentrations of the etchant. The proposed governing equation includes the interface condition. The reacted concentration is used to capture the etchant-substrate interface implicitly. Since the grids are fixed, a diffusion problem remains a diffusion problem unlike the moving grid approach where the diffusion problem becomes the convection-diffusion problem due to the mesh velocity. For demonstration purposes, the finite volume method is used to solve for the transient concentration distribution of etchant. In this article, two-dimensional diffusion-controlled wet chemical etching processes are modeled. The results obtained from the proposed total concentration method are compared with available “analytic” solutions and solutions from moving-grid approach.
Style APA, Harvard, Vancouver, ISO itp.
5

Kaneko, Kimihisa, Tomoyoshi Noda, Masayoshi Sakata i Tomomi Uchiyama. "Observation and Numerical Simulation for Wet Chemical Etching Process of Semiconductor". W ASME/JSME 2003 4th Joint Fluids Summer Engineering Conference. ASMEDC, 2003. http://dx.doi.org/10.1115/fedsm2003-45707.

Pełny tekst źródła
Streszczenie:
This paper concerns with the basic investigations on the wet chemical etching of semiconductors. First, a method to observe the etched cross-section of aluminum layer is developed. It is applied to the observation for the cross-section of a test piece etched in a quiescent etchant. The observation successfully makes clear the time variation for the geometry of the etched cross-section, and elucidates the effects of the resist width on the geometry. Secondly, the numerical simulation for the etching process is performed. The simulated geometry of the etched cross-section is confirmed to agree with the observed result, indicating that the present numerical simulation is effectively used to predict the geometry of the etched cross-section.
Style APA, Harvard, Vancouver, ISO itp.
6

Niino, Hiroyuki, Tadatake Sato, Yoshizo Kawaguchi, Aiko Narazaki i Ryozo Kurosaki. "Progress in laser-induced backside wet etching". W PICALO 2010: 4th Pacific International Conference on Laser Materials Processing, Micro, Nano and Ultrafast Fabrication. Laser Institute of America, 2010. http://dx.doi.org/10.2351/1.5057258.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
7

Yamamura, Kazuya. "Development of Numerically Controlled Local Wet Etching". W Optical Fabrication and Testing. Washington, D.C.: OSA, 2006. http://dx.doi.org/10.1364/oft.2006.ofme2.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
8

Niino, Hiroyuki. "Progress in Laser-Induced Backside Wet Etching". W Optical Fabrication and Testing. Washington, D.C.: OSA, 2008. http://dx.doi.org/10.1364/oft.2008.owc1.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
9

Iliescu, Ciprian, Bangtao Chen, Francis E. H. Tay, Guolin Xu i Jianmin Miao. "Characterization of deep wet etching of glass". W Microelectronics, MEMS, and Nanotechnology, redaktorzy Jung-Chih Chiao, Andrew S. Dzurak, Chennupati Jagadish i David V. Thiel. SPIE, 2005. http://dx.doi.org/10.1117/12.638521.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
10

Ouyang, G., H. Liu, Z. Ramic i E. Halvorsen. "Langasite (La3Ga5SiO14) wet-etching experiments and simulations". W 2009 IEEE International Ultrasonics Symposium. IEEE, 2009. http://dx.doi.org/10.1109/ultsym.2009.5441711.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.

Raporty organizacyjne na temat "Wet etching"

1

Rushford, M., S. Dixit, R. Hyde, J. Britten, J. Nissen, M. Aasen, J. Toeppen i in. Development of Wet-Etching Tools for Precision Optical Figuring. Office of Scientific and Technical Information (OSTI), styczeń 2004. http://dx.doi.org/10.2172/15007304.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
2

Riley, Brian J., S. K. Sundaram, Bradley R. Johnson i Laxmikant V. Saraf. Summary of Chalcogenide Glass Processing: Wet-Etching and Photolithography. Office of Scientific and Technical Information (OSTI), grudzień 2006. http://dx.doi.org/10.2172/1031443.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
3

Hunt, C., i J. Trujillo. Silicon field emission points for vacuum IC's by wet chemical etching. Office of Scientific and Technical Information (OSTI), marzec 1990. http://dx.doi.org/10.2172/7032264.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
4

Snyder, Paul G. Real Time Optical Monitoring of III-V Semiconductor Wet Chemical Etching. Fort Belvoir, VA: Defense Technical Information Center, grudzień 2000. http://dx.doi.org/10.21236/ada387435.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
5

Hui, Wing C. Technique for protecting chip corners in wet chemical etching of silicon wafers. Office of Scientific and Technical Information (OSTI), luty 1991. http://dx.doi.org/10.2172/10169930.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
6

Mistkawi, Nabil. Fundamental Studies in Selective Wet Etching and Corrosion Processes for High-Performance Semiconductor Devices. Portland State University Library, styczeń 2000. http://dx.doi.org/10.15760/etd.6.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
7

Britten, J. Wet-Etch Figuring Optical Figuring by Controlled Application of Liquid Etchant. Office of Scientific and Technical Information (OSTI), luty 2001. http://dx.doi.org/10.2172/15013516.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
8

Keller, Jonathan. WhiteWind: White Etching Crack (WEC) Bearing Failures in Wind Turbine: Cooperative Research and Development Final Report, CRADA Number CRD-18-00758. Office of Scientific and Technical Information (OSTI), październik 2021. http://dx.doi.org/10.2172/1826533.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
Oferujemy zniżki na wszystkie plany premium dla autorów, których prace zostały uwzględnione w tematycznych zestawieniach literatury. Skontaktuj się z nami, aby uzyskać unikalny kod promocyjny!

Do bibliografii