Gotowa bibliografia na temat „Substrates”

Utwórz poprawne odniesienie w stylach APA, MLA, Chicago, Harvard i wielu innych

Wybierz rodzaj źródła:

Zobacz listy aktualnych artykułów, książek, rozpraw, streszczeń i innych źródeł naukowych na temat „Substrates”.

Przycisk „Dodaj do bibliografii” jest dostępny obok każdej pracy w bibliografii. Użyj go – a my automatycznie utworzymy odniesienie bibliograficzne do wybranej pracy w stylu cytowania, którego potrzebujesz: APA, MLA, Harvard, Chicago, Vancouver itp.

Możesz również pobrać pełny tekst publikacji naukowej w formacie „.pdf” i przeczytać adnotację do pracy online, jeśli odpowiednie parametry są dostępne w metadanych.

Artykuły w czasopismach na temat "Substrates"

1

Riley, E. D., H. T. Kraus, T. E. Bilderback i D. M. Benson. "Composted Cotton Stalks and Cotton Gin Trash Substrate Amendments and Irrigation/Ground Cover Management II. Effect on Growth and Disease Suppression for Azalea and Juniper". Journal of Environmental Horticulture 32, nr 3 (1.09.2014): 141–48. http://dx.doi.org/10.24266/0738-2898.32.3.141.

Pełny tekst źródła
Streszczenie:
‘Sunglow’ azalea and ‘Blue Pacific’ juniper were grown in pine bark (PB) and pine tree (PT) substrates that were amended with cotton stalks composted with a N source (CSN), cotton stalks composted without an N source (CS), and cotton gin trash (CGT) to evaluate the substrate's effect on plant growth and disease suppression. The plants were grown under two different, commonly used, irrigation/ground surface management regimes — overhead, sprinkler irrigation with black geotextile weed fabric covering the ground (OH) or low-volume, spray stake irrigation with gravel covering the ground (LV). In 2010, with OH, all PB-amended substrates produced significantly larger azalea shoots than PT-amended substrates. In 2011, with OH, all azalea shoots were similar in size when grown in all substrates except for PT:CS, where plants were significantly smaller. With LV, in 2010 and 2011, azalea shoot growth was largest when grown in a PB substrate amended with CSN or CGT and lowest in PT:CS. Junipers with OH produced generally larger shoot growth with the PB-based substrates in both 2010 and 2011 compared to the PT-based substrates. With LV, PT:CGT produced the numerically smallest juniper shoot growth for both years. Overall, PT-based substrates appeared to produce greater consistency in growth, because responses were more similar in 2010 and 2011, however irrigation method and management can impact growth regardless of substrate composition. CGT added to PB- or PT-based substrates enhanced Ca and Mg uptake by both species but not P uptake. OH generally kept ground surface and substrate temperatures lower than LV regardless of substrate composition. The substrates tested neither enhanced nor deterred P. cinnamomi infection in azalea or juniper.
Style APA, Harvard, Vancouver, ISO itp.
2

Bolduc, David M., Daniel R. Montagna, Yongli Gu, Dennis J. Selkoe i Michael S. Wolfe. "Nicastrin functions to sterically hinder γ-secretase–substrate interactions driven by substrate transmembrane domain". Proceedings of the National Academy of Sciences 113, nr 5 (22.12.2015): E509—E518. http://dx.doi.org/10.1073/pnas.1512952113.

Pełny tekst źródła
Streszczenie:
γ-Secretase is an intramembrane-cleaving protease that processes many type-I integral membrane proteins within the lipid bilayer, an event preceded by shedding of most of the substrate’s ectodomain by α- or β-secretases. The mechanism by which γ-secretase selectively recognizes and recruits ectodomain-shed substrates for catalysis remains unclear. In contrast to previous reports that substrate is actively recruited for catalysis when its remaining short ectodomain interacts with the nicastrin component of γ-secretase, we find that substrate ectodomain is entirely dispensable for cleavage. Instead, γ-secretase–substrate binding is driven by an apparent tight-binding interaction derived from substrate transmembrane domain, a mechanism in stark contrast to rhomboid—another family of intramembrane-cleaving proteases. Disruption of the nicastrin fold allows for more efficient cleavage of substrates retaining longer ectodomains, indicating that nicastrin actively excludes larger substrates through steric hindrance, thus serving as a molecular gatekeeper for substrate binding and catalysis.
Style APA, Harvard, Vancouver, ISO itp.
3

Bohm, Franciele Mara Lucca Zanardo, Adriana Strieder Philippsen, Débora Larissa de Oliveira, Lucas Henrique Teixeira Garcete, Paula Bonomo Bertola i Paulo Alfredo Feitoza Bohm. "Emergência e crescimento de alface (Lactuca sativa L.) submetida a substratos orgânicos". Revista Verde de Agroecologia e Desenvolvimento Sustentável 12, nr 2 (17.06.2017): 348. http://dx.doi.org/10.18378/rvads.v12i2.4859.

Pełny tekst źródła
Streszczenie:
<p>A agricultura orgânica se destaca como modo de produção que consiste em um sistema sustentável. Um dos desafios desse método de cultivo é a produção de alimentos com qualidade em quantidades suficientes sem comprometer o meio ambiente. Objetivou-se analisar o efeito de substratos orgânicos na germinação e crescimento inicial de alface. O experimento foi conduzido em delineamento inteiramente casualizado com quatro tratamentos (substratos) e duas repetições. Foram testados os substratos: composto de Sibipiruna, substrato comercial, composto de Sibipiruna com chorume e substrato comercial com chorume. O chorume foi obtido exclusivamente da decomposição de vegetais. Foi avaliado o efeito dos substratos pelo percentual de germinação, massa fresca, massa seca e comprimento da radícula. Pôde-se considerar que houve diferença significativa entre os tratamentos. As sementes, quando germinadas em substrato de Sibipiruna com chorume, apresentaram maior massa seca e comprimento de radícula. Com relação à massa fresca, os valores obtidos foram maiores quando o chorume foi adicionado a ambos os substratos. O chorume obtido da decomposição de vegetais aumentou a germinação e o crescimento inicial das plântulas.</p><p align="center"><strong><em>Emergence and growth of lettuce (</em></strong><em>Lactuca sativa<strong> </strong>L.<strong>) submitted to organic substrates</strong></em></p><pre><strong>Abstract: </strong>Organic agriculture stands out as a mode of production consisting of a sustainable system. One of the challenges of this method of cultivation is the production of sufficient quality food in sufficient quantities without compromising the environment. The objective of this study was to analyze the effect of organic substrates on germination and initial lettuce growth. The experiment was conducted in a completely randomized design with four treatments (substrates) and two replicates. The substrates were tested: Sibipiruna compound, commercial substrate, Sibipiruna compound with slurry and commercial slurry substrate. The manure was obtained exclusively from the decomposition of vegetables. The effect of the substrates was evaluated by percentage of germination, fresh mass, dry mass and radicular length. It was possible to consider that there was a significant difference between treatments. The seeds, when germinated in substrate of Sibipiruna with slurry, presented higher dry mass and radicle length. Regarding the fresh mass, the values obtained were higher when the slurry was added to both substrates. The slurry obtained from the decomposition of plants increased the germination and the initial growth of the seedlings.</pre>
Style APA, Harvard, Vancouver, ISO itp.
4

Evans, Michael R., Giampaolo Zanin i Todd J. Cavins. "E-values Generated from Substrate Dry-down Models as a Physical Property Measurement for Evaluating and Classifying Wetness of Root Substrates". HortScience 46, nr 4 (kwiecień 2011): 627–31. http://dx.doi.org/10.21273/hortsci.46.4.627.

Pełny tekst źródła
Streszczenie:
Water-holding capacity represents the volume of water retained by a substrate after a saturating irrigation and drainage, and it is often referred to as container capacity. However, water-holding capacity is a time-specific measurement that is limited to the status of the substrate immediately after saturation and drainage. It does not provide information regarding how quickly water is lost from the substrate, the substrate water status over time, or the irrigation frequency required for a substrate under specific conditions. A new procedure was developed that generated a single numeric value that described the wetness of a substrate and in so doing took into account the substrate's water-holding capacity and drying rate. This value was referred to as an E-value. For substrates included in this study, E-values ranged from a low of 6 for parboiled fresh rice hulls (PBH) to a high of 93 for the commercial substrate Metro Mix 360. The procedure was shown to generate E-values that were as would be expected for the evaluated substrates and also ranked the substrates as would have been expected. Over repeated evaluations, the procedure was demonstrated to have a maximum inherent variability of plus or minus one E-value.
Style APA, Harvard, Vancouver, ISO itp.
5

Witcher, Anthony L., Glenn B. Fain, Eugene K. Blythe i Cecil T. Pounders. "Nitrogen Form Affects pH and EC of Whole Pine Tree Substrate and Growth of Petunia". Journal of Environmental Horticulture 29, nr 4 (1.12.2011): 213–19. http://dx.doi.org/10.24266/0738-2898-29.4.213.

Pełny tekst źródła
Streszczenie:
Abstract Wood-based substrates are potential alternatives or amendments to traditional peat-based and pine bark substrates. Undesirable changes in substrate pH may result from the application of supplemental fertilizer required by some crops grown in wood-based substrates. Experiments were conducted to evaluate petunia growth and substrate pH in response to nitrogen (N) treatments applied as a nutrient solution to whole pine tree (WPT) and peat-lite (PL) substrates. Nitrogen treatments were applied as 100% ammonium (NH4+ N), 100% nitrate (NO3−N), or a combination of both in various proportions. The pH range of WPT substrate widened considerably over time among the N treatments, while a change in substrate pH was minimal for PL substrate during the same period. Generally, 100% NO3−N and 100% NH4+ N resulted in the highest and lowest substrate pH, respectively, regardless of substrate. Greater shoot dry mass was obtained in PL substrates compared with WPT substrates. Maximum shoot dry mass and flower count with ‘Celebrity Rose’ petunia were obtained with the mixed N-form treatments in both substrates. Greater substrate air space and total porosity was associated with WPT substrates compared with PL substrates, the latter having greater container capacity.
Style APA, Harvard, Vancouver, ISO itp.
6

Silvestre, Rocío, Raúl Llinares Llopis, Laura Contat Rodrigo, Víctor Serrano Martínez, Josué Ferri i Eduardo Garcia-Breijo. "Low-Temperature Soldering of Surface Mount Devices on Screen-Printed Silver Tracks on Fabrics for Flexible Textile Hybrid Electronics". Sensors 22, nr 15 (2.08.2022): 5766. http://dx.doi.org/10.3390/s22155766.

Pełny tekst źródła
Streszczenie:
The combination of flexible-printed substrates and conventional electronics leads to flexible hybrid electronics. When fabrics are used as flexible substrates, two kinds of problems arise. The first type is related to the printing of the tracks of the corresponding circuit. The second one concerns the incorporation of conventional electronic devices, such as integrated circuits, on the textile substrate. Regarding the printing of tracks, this work studies the optimal design parameters of screen-printed silver tracks on textiles focused on printing an electronic circuit on a textile substrate. Several patterns of different widths and gaps between tracks were tested in order to find the best design parameters for some footprint configurations. With respect to the incorporation of devices on textile substrates, the paper analyzes the soldering of surface mount devices on fabric substrates. Due to the substrate’s nature, low soldering temperatures must be used to avoid deformations or damage to the substrate caused by the higher temperatures used in conventional soldering. Several solder pastes used for low-temperature soldering are analyzed in terms of joint resistance and shear force application. The results obtained are satisfactory, demonstrating the viability of using flexible hybrid electronics with fabrics. As a practical result, a simple single-layer circuit was implemented to check the results of the research.
Style APA, Harvard, Vancouver, ISO itp.
7

Kallinger, Birgit, Bernd Thomas, Sebastian Polster, Patrick Berwian i Jochen Friedrich. "Dislocation Conversion and Propagation during Homoepitaxial Growth of 4H-SiC". Materials Science Forum 645-648 (kwiecień 2010): 299–302. http://dx.doi.org/10.4028/www.scientific.net/msf.645-648.299.

Pełny tekst źródła
Streszczenie:
Basal Plane Dislocations (BPDs) in SiC are thought to cause degradation of bipolar diodes with blocking voltages > 2kV by triggering the formation and expansion of stacking faults during device operation. Hence, low N doped, thick epitaxial layers without BPDs are urgently needed for the realization of long-term stable SiC bipolar diodes. Such epilayers can be achieved if the conversion of the BPD into another harmless dislocation type is supported by proper epitaxial growth parameters and use of vicinal (off-cut) substrates. In this work, the influence of the substrate’s off-cut angle and of the epilayer thickness on BPD density and surface morphology were investigated. The BPD densities of epilayers grown on 2° and 4° off-cut substrates were very low compared to growth on 8° off-axis substrates. X-Ray Topography has proved that all the Threading Dislocations (TD) propagate from the substrate to the epilayer and that BPDs in the substrate convert to Threading Edge Dislocations (TED) in the epilayer, i.e. the dislocation density (DD) of the substrate determines the epilayer’s DD. The conversion of BPDs is supported by the presence of bunched steps as for growth of thick layers on 2° and 4° off-cut substrates.
Style APA, Harvard, Vancouver, ISO itp.
8

Mu, Xiaojing, Xiaoqi Yi, Shangyou Xiao, Chengshan Wang, Gang Chen i Yan Li. "Substrates for Paraoxonase". Current Pharmaceutical Design 24, nr 5 (2.05.2018): 615–27. http://dx.doi.org/10.2174/1381612824666171213102310.

Pełny tekst źródła
Streszczenie:
Background: Paraoxonase (PON) is a family of calcium-dependent hydrolases, which is related to many diseases. Elucidation of PON physiological roles, active center and all applications in medical fields are dependent on its substrates. Objective: The reports about PON substrates scattered in a long span of period are collected to afford clue for drug design, diagnosis of PON status and other academic purposes. Method: PON substrates from 133 references are classified and compared. Structurally, PON substrates are generally classified as organic phosphorous esters, lactones and arylesters. Some phosphoramidates, organophosphorous obidoximes, aryl carboxylic acid amides and special fatty alcohol esters as PON substrates are also included. Results: The electron nature, steric hindrance and hydrophilicity of substrate substituents affecting the PON catalytic ability, binding ability and specificities are discussed. Drugs, prodrugs and naturally endogenous molecules in life processes activated or inactivate by PON are reviewed. Interestingly, some organophosphate and lactone substrates are preferably hydrolyzed by one of the PON1R192Q allozymes, and such a substrate is generally essential for differentiating the three PON1192R phenotypes by using a dual-substrate method. Intricately, some chiral substrates are hydrolyzed by PON stereoselectively. Conclusion: As more substrates are synthesized and characterized, more facts about PON structure and catalytic properties (including PON active center and catalytic mechanism) will be revealed, and therefore the use of PON as a drug target or as an accurate disease marker will be achieved.
Style APA, Harvard, Vancouver, ISO itp.
9

Schafer, Gilmar, Paulo Vitor Dutra de Souza i Claudimar Sidnei Fior. "Um panorama das propriedades físicas e químicas de substratos utilizados em horticultura no sul do Brasil". Ornamental Horticulture 21, nr 3 (22.12.2015): 299. http://dx.doi.org/10.14295/oh.v21i3.735.

Pełny tekst źródła
Streszczenie:
The cultivation in greenhouse and containers culminated with the necessity to use substrates with formulations as well as distinguished chemical and physical characteristics, the last ones assuming a key role in cultivation of horticultural plants. The aim of this study is to present results of physical and chemical analyses of substrates forwarded to an commercial laboratory (Laboratório de Substratos para Plantas - UFRGS) for the last three years, aiming to establishing a panorama of the substrates area and comparing them with reference values cited in the literature. In this period were performed 307 physical and 479 chemical analyses, which were the basis for this study. Physical analyses performed were the density in dry basis and the water retention curve (total porosity, air space, available water and remaining water). Chemical analyses were the electrical conductivity (EC) and the pH. The main results concerning physical characteristics, demonstrate that the substrates present wide range of density in dry basis and may be used for different sized containers; however most substrates analyzed is out of ideal range for the other physical characteristics of the substrate. For the chemical characteristic the substrates in cultivation in southern Brazil are mostly alkaline and the electrical conductivity of the substrates in cultivation is very diverse, with considerable number of samples above the recommended.
Style APA, Harvard, Vancouver, ISO itp.
10

Pereira, Gustavo Furtado, Aline da Silva Oliveira, Kelly Cristiane Gomes, José Félix Silva Neto, Thiago Araújo Simões, Antônio Farias Leal, Sandro Marden Torres i Marçal Rosas Florentino Lima Filho. "Selective Absorbing Surface Based on CrO3: Evaluation of Substrates Treatment Influence on the Films Optical Properties". Energies 16, nr 1 (27.12.2022): 318. http://dx.doi.org/10.3390/en16010318.

Pełny tekst źródła
Streszczenie:
Solar energy presents the greatest potential by which to produce heat energy with reduced carbon emissions for power generation. To increase its harvesting and conversion, it is necessary to understand fundamental concepts and develop new materials. Although many processes can obtain selective absorbing surfaces (SAS) for application in solar energy exploitation, including electroplating methods, those processes have not sufficiently investigated the substrate’s treatment impact. The present work investigates 304 stainless steel (SS304) substrates treatment influence on the film’s (coatings) optical properties of SAS based on CrO3 electroplating. For this purpose, three main steps featured in the methodology: substrates treatment, coatings deposition, and physical-chemical characterization. The former was performed by detergent cleaning (DC), acid treatment (AT), and electropolishing (EP). Then, coatings were electroplated towards chromium deposition on the substrates with different deposition times. Finally, films were characterized by Profilometry, UV-Vis-NIR, and IR regions Spectroscopy and Scanning Electron Microscopy (SEM). The results indicated that, in terms of surface treatments on the substrate, the electropolished (EP) substrates presented average roughness values of 35 nm, reflectivity of 5.09%, and clear morphological difference (SEM) when compared to other treatments in this study (DC and AT). A SAS was successfully obtained, and the electropolished substrates (EP) presented coatings with better optical performance than other samples (DC and AT), with absorptivity values around 98% and emissivity of approximately 7%. A relationship between substrate treatment, its roughness, and the impacts on the optical selectivity of SASs was observed. Therefore, electropolishing is presented as a promising treatment for the SASs substrates.
Style APA, Harvard, Vancouver, ISO itp.

Rozprawy doktorskie na temat "Substrates"

1

Babcock, Gwen. "Maize β-glucosidase substrate specificity and natural substrates". Thesis, Virginia Tech, 1993. http://hdl.handle.net/10919/45360.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
2

Babcock, Gwen. "Maize [beta]-glucosidase substrate specificity and natural substrates /". This resource online, 1993. http://scholar.lib.vt.edu/theses/available/etd-10312009-020235/.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
3

Elwin, M. P. "Semiconductor gas sensor substrates". Thesis, Swansea University, 1999. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.636796.

Pełny tekst źródła
Streszczenie:
The detection and monitoring of toxic and explosive gases is often performed using semiconductor gas sensors. The substrate forms an important part of these sensors and current designs were investigated and tested. Various new designs were developed and thick and thin-film technologies employed to fabricate substrates and complete sensors. Substrateless sensors were also analysed and alterations performed to fashion new devices. A number of ceramic materials were tested for their suitability as semiconductor gas sensor substrates. The adhesion of thin-films and thermal conductivity were found to be the most crucial properties, in addition to those typical of ceramics, such as high temperature stability. Alumina is routinely used in semiconductor gas sensors and many other substrates and its performance was compared with less commonly used materials such as beryllia and aluminium nitride. These materials have a much greater thermal conductivity than alumina, and this was shown to improve sensitivity. A semi-empirical formula was derived to enable the prediction of sensitivity loss of a semiconductor gas sensor fabricated on a substrate with high temperature gradients, compared with one where gradients are minimal. The heaters used to raise the temperature of the substrate are typically made from platinum films. The longevity of thin platinum films depends on the film thickness and substrate surface, but for a given film thickness on a given substrate, additional adhesion layers of various metals were also shown to change the films stability and hence lifetime. Various substrate geometries were investigated to optimise temperature distribution and sensitivity. Predominantly subtle effects were observed, but a significant increase of sensitivity was found with an increased surface area. Electrical circuitry used to control and monitor sensors was summarised and a new substrate developed which could be used in conjunction with switching circuitry, the main advantage being that the fabrication of the substrate was more economical than standard substrate configurations.
Style APA, Harvard, Vancouver, ISO itp.
4

Jagdeo, Julienne. "Identification of novel picornavirus proteinase substrates using terminal amine isotopic labeling of substrates". Thesis, University of British Columbia, 2017. http://hdl.handle.net/2429/61277.

Pełny tekst źródła
Streszczenie:
Viruses have exploited strategies of proteolysis for the purposes of processing viral proteins and manipulating cellular processes to direct synthesis of new virions and subvert host antiviral responses. Many viruses encode proteases within their genome, of which many have been well studied among the family of positive-sense single-stranded RNA picornaviruses. A subset of host proteins have already been identified as targets of picornaviral proteinases; however, the full repertoire of targets is not known. In this thesis, a novel proteomics-based approach termed terminal amine isotopic labeling of substrates (TAILS) was used to conduct a global analysis of protease-generated N-terminal peptides by mass spectrometry and identify novel substrates of the 3C (3Cpro) and 2A (2Apro) proteinases from poliovirus and coxsackievirus type B3 (CVB3). TAILS was performed on HeLa cell extracts subjected to purified poliovirus 3Cpro or CVB3 2Apro, and on mouse HL-1 cardiomyocyte extracts subjected to purified CVB3 3Cpro. A list of high confidence candidate substrates for all three proteinases was generated, which included a peptide corresponding to the known poliovirus 3Cpro substrate polypyrimide tract binding protein at a known cleavage site, thus validating this approach. Furthermore, three identical peptides in both the poliovirus and CVB3 3Cpro list of high confidence substrates were identified, suggesting that cleavage of these substrates may contribute to general strategy of picornaviral infection. A total of seven high confidence substrates were validated as novel targets of 3Cpro in vitro and during virus infection. Moreover, mutations in the TAILS-identified cleavage sites for these candidates blocked cleavage in vitro and during infection. Depletion of these proteins by siRNAs modulated virus infection, suggesting that cleavage of these substrates either promotes or inhibits virus infection. In summary, an in vitro TAILS assay can be utilized to identify novel substrates of viral proteinases that are cleave during infection. Moreover, TAILS can identify common substrates of viral proteinases between different viral species, revealing general strategies of infection utilized by related viruses. Finally, the identification of novel host substrates provides new insights the viral-host interactions mediated by viral proteinases that are required for successful infection.
Medicine, Faculty of
Biochemistry and Molecular Biology, Department of
Graduate
Style APA, Harvard, Vancouver, ISO itp.
5

Sidibeh, Cherno Omar. "Production and cleavage specificity determination of serine proteases mMCP-4, mMCP-5, rMCP-2 and two platypus serine proteases of the chymase locus". Thesis, Uppsala universitet, Institutionen för biologisk grundutbildning, 2013. http://urn.kb.se/resolve?urn=urn:nbn:se:uu:diva-197088.

Pełny tekst źródła
Streszczenie:
Serine proteases are a family of enzymes with a wide array of functions across both eukaryotes and prokaryotes. Here we have attempted to produce the serine proteases rat mast cell protease 2 and mouse mast cell protease 5 in a culture of HEK 293 cells; and mouse mast cell protease 4, platypus granzyme B-like protease and platypus hypothetical protease in a baculovirus expression system. Following production we wanted to analyse these serine proteases using a phage display assay and a battery of chromogenic substrates.
Style APA, Harvard, Vancouver, ISO itp.
6

Bock, Henry. "Fluids confined by nanopatterned substrates". [S.l.] : [s.n.], 2001. http://edocs.tu-berlin.de/diss/2001/bock_henry.pdf.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
7

Richmond, Mark Andrew. "Neural substrates of conditioned behaviour". Thesis, University of Oxford, 1998. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.301735.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
8

Erb, Suzanne Dorothe. "Studies of photochemically responsive substrates". Thesis, University of Oxford, 1992. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.314847.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
9

Wang, Weijen 1980. "Directive antenna using metamaterial substrates". Thesis, Massachusetts Institute of Technology, 2004. http://hdl.handle.net/1721.1/17995.

Pełny tekst źródła
Streszczenie:
Thesis (M. Eng.)--Massachusetts Institute of Technology, Dept. of Electrical Engineering and Computer Science, 2004.
Includes bibliographical references (p. 83-86).
Using a commercially available software(CST Microwave Studio®), two kinds of simulations have been carried out on different metamaterials in the microwave regime. One is transmission and reflection of a unit cell in a waveguide, and the other is parallel plate slab farfield radiation. The S-parameters are obtained from the wave-guide simulation and are used to retrieve the effective permittivity and permeability with which we can estimate the farfield radiation using analytic method. Thus, by comparing the farfield radiation from two different methods, analytic and slab simulation, we find that the analytic method is able to indicate many major features of the slab simulation's farfield results, implying that within a certain frequency range, we can treat the metamaterial as being homogeneous. After comparing the radiation performance of different metamaterial as antenna substrates, a structure is chosen to be optimized in such a way that it improves in radiation power, beamwidth, and bandwidth.
by Weijen Wang.
M.Eng.
Style APA, Harvard, Vancouver, ISO itp.
10

Judge, Benjamin Michael. "Thermoplastic bonding of microfluidic substrates". Thesis, Massachusetts Institute of Technology, 2012. http://hdl.handle.net/1721.1/78165.

Pełny tekst źródła
Streszczenie:
Thesis (M. Eng.)--Massachusetts Institute of Technology, Dept. of Mechanical Engineering, 2012.
Cataloged from PDF version of thesis.
Includes bibliographical references (p. 103-108).
The assembly of microfluidic components for lab on a chip (LOC) applications that are manufactured from commodity thermoplastics is challenging. A survey of plastic welding techniques validates that contour transmission laser welding is the most viable and commercially demonstrated option for flexibility and sensitive microfluidic tolerances. However, understanding laser energy transmission and absorption phenomenon further complicates analyzing microfluidic thermoplastic welding, since the instantaneous material properties vary with both temperature and pressure. Thermoplastic welding has steep thermal gradients due to high thermal resistances, resulting in asymmetric heat affected zones (HAZ). Welding fixture sensitivities may be engineered to tune the weld energy required and a desired HAZ bias to reduce microfluidic channel deformation. Energy imparted by resistively heating thin implants can be easily measured and observed. Resistive heating of implants was demonstrated as a low energy, parallel, and feasible microfluidic welding assembly process. Lessons from implant heating can be applied to more complicated but analogous processes.
by Benjamin Michael Judge.
M.Eng.
Style APA, Harvard, Vancouver, ISO itp.

Książki na temat "Substrates"

1

Inamuddin, Rajender Boddula i Abdullah M. Asiri, red. Self-standing Substrates. Cham: Springer International Publishing, 2020. http://dx.doi.org/10.1007/978-3-030-29522-6.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
2

Giessmann, Andreas. Coating Substrates and Textiles. Berlin, Heidelberg: Springer Berlin Heidelberg, 2012. http://dx.doi.org/10.1007/978-3-642-29160-9.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
3

Misra, J. K. Fungi from different substrates. Boca Raton, FL: CRC Press, 2015.

Znajdź pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
4

D, Stowell J., Society for General Microbiology. Fermentation Group., Society for General Microbiology, Society for General Microbiology. Physiology Group. i Society for General Microbiology. Biochemistry Group., red. Carbon substrates in biotechnology. Oxford [Oxfordshire]: Published for the Society for General Microbiology by IRL Press, 1987.

Znajdź pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
5

D, Stowell J., i Society for General Microbiology. Fermentation, Physiology and Biochemistry Groups., red. Carbon substrates in biotechnology. Oxford: IRL Press for the Society for General Microbiology, 1987.

Znajdź pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
6

Hyde, Janet Shibley, red. Biological substrates of human sexuality. Washington: American Psychological Association, 2005. http://dx.doi.org/10.1037/11196-000.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
7

Givargizov, E. I. Oriented Crystallization on Amorphous Substrates. Boston, MA: Springer US, 1991. http://dx.doi.org/10.1007/978-1-4899-2560-2.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
8

Engineers, Society of Automotive, i SAE World Congress (2005 : Detroit, Mich.), red. Advanced catalysts and substrates 2005. Warrendale, PA: Society of Automotive Engineers, 2005.

Znajdź pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
9

Engineers, Society of Automotive. Advanced catalysts and substrates, 2006. Warrendale, PA: SAE International, 2006.

Znajdź pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
10

Givargizov, E. I. Oriented crystallization on amorphous substrates. New York: Plenum Press, 1991.

Znajdź pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.

Części książek na temat "Substrates"

1

Morgan, Lynette. "Substrate-based Hydroponic Systems." W Hydroponics and protected cultivation: a practical guide, 77–99. Wallingford: CABI, 2021. http://dx.doi.org/10.1079/9781789244830.0006.

Pełny tekst źródła
Streszczenie:
Abstract This chapter focuses on substrate-based hydroponic systems. The main purpose of the substrate in hydroponic systems is to provide plant support, allowing roots to grow throughout the medium absorbing water and nutrients from the nutrient solution. Topics discussed are properties of hydroponic substrates, open and closed soilless systems, common hydroponic substrates, substrates and water-holding capacity, substrates and oversaturation, matching substrates to crop species, physical properties of soilless substrates, chemical properties of hydroponic substrates, nutrient delivery in substrate systems, irrigation and moisture control in substrates, and microbial populations in substrates.
Style APA, Harvard, Vancouver, ISO itp.
2

Morgan, Lynette. "Substrate-based Hydroponic Systems." W Hydroponics and protected cultivation: a practical guide, 77–99. Wallingford: CABI, 2021. http://dx.doi.org/10.1079/9781789244830.0077.

Pełny tekst źródła
Streszczenie:
Abstract This chapter focuses on substrate-based hydroponic systems. The main purpose of the substrate in hydroponic systems is to provide plant support, allowing roots to grow throughout the medium absorbing water and nutrients from the nutrient solution. Topics discussed are properties of hydroponic substrates, open and closed soilless systems, common hydroponic substrates, substrates and water-holding capacity, substrates and oversaturation, matching substrates to crop species, physical properties of soilless substrates, chemical properties of hydroponic substrates, nutrient delivery in substrate systems, irrigation and moisture control in substrates, and microbial populations in substrates.
Style APA, Harvard, Vancouver, ISO itp.
3

Cartledge, Trevor G. "Substrate utilization, non-carbohydrate substrates". W Yeast Biotechnology, 311–42. Dordrecht: Springer Netherlands, 1987. http://dx.doi.org/10.1007/978-94-009-3119-0_10.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
4

Antonov, Vladimir K. "Substrates". W Chemistry of Proteolysis, 5–31. Berlin, Heidelberg: Springer Berlin Heidelberg, 1993. http://dx.doi.org/10.1007/978-3-662-00979-6_2.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
5

Siuzdak, Katarzyna, Łukasz Haryński, Jakub Wawrzyniak, Piotr Kupracz i Katarzyna Grochowska. "Self-standing Nanoarchitectures". W Self-standing Substrates, 1–56. Cham: Springer International Publishing, 2019. http://dx.doi.org/10.1007/978-3-030-29522-6_1.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
6

Ahuja, Preety, i Sanjeev Kumar Ujjain. "Graphene-Based Materials for Flexible Supercapacitors". W Self-standing Substrates, 297–326. Cham: Springer International Publishing, 2019. http://dx.doi.org/10.1007/978-3-030-29522-6_10.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
7

Karfa, Paramita, Kartick Chandra Majhi i Rashmi Madhuri. "Free-Standing Graphene Materials for Supercapacitors". W Self-standing Substrates, 327–51. Cham: Springer International Publishing, 2019. http://dx.doi.org/10.1007/978-3-030-29522-6_11.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
8

Sabir, Aneela, Tahmina Zia, Muhammad Usman, Muhammad Shafiq, Rafi Ullah Khan i Karl I. Jacob. "Organic Electrode Material for Sodium-Ion Batteries". W Self-standing Substrates, 353–68. Cham: Springer International Publishing, 2019. http://dx.doi.org/10.1007/978-3-030-29522-6_12.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
9

Salehmin, Mohd Nur Ikhmal, Mohamad Azuwa Mohamed, Rosmahani Mohd Shah, Rozan Mohamad Yunus i Zul Adlan Mohd Hir. "Application of Self-supported Materials for Photo and Photoelectrocatalysis". W Self-standing Substrates, 57–82. Cham: Springer International Publishing, 2019. http://dx.doi.org/10.1007/978-3-030-29522-6_2.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
10

George, Sajan D. "Surface-Enhanced Raman Scattering Substrates: Fabrication, Properties, and Applications". W Self-standing Substrates, 83–118. Cham: Springer International Publishing, 2019. http://dx.doi.org/10.1007/978-3-030-29522-6_3.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.

Streszczenia konferencji na temat "Substrates"

1

Mahani, Mohammad S., Asanee Suntives i Ramesh Abhari. "Hybrid substrate integrated waveguides developed using flexible substrates". W 2009 IEEE 18th Conference on Electrical Performance of Electronic Packaging and Systems (EPEPS). IEEE, 2009. http://dx.doi.org/10.1109/epeps.2009.5338460.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
2

Gulati, Suresh T. "Substrate/Washcoat Interaction in Thin Wall Ceramic Substrates". W Symposium on International Automotive Technology (SIAT99). 400 Commonwealth Drive, Warrendale, PA, United States: SAE International, 1999. http://dx.doi.org/10.4271/990013.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
3

Modi, Mitul, Deepak Kulkarni, Andy Bao, Ibrahim Bekar i Steve Cho. "Analytical Homogenization for Microelectronic Substrates". W ASME 2007 International Mechanical Engineering Congress and Exposition. ASMEDC, 2007. http://dx.doi.org/10.1115/imece2007-43460.

Pełny tekst źródła
Streszczenie:
Organic substrates used in microelectronic packages contain complex micro-via, plated-through-hole via, and copper networks in order to accommodate stringent electrical requirements of power delivery and I/O. These complex layouts can produce significant inhomogeniety in the in-plane and out-of-plane mechanical properties of the substrates. An analytical homogenization methodology has been established that uses substrate design geometries and material properties such as the copper density and via locations with two-phase micromechanics models to homogenize the substrate. In this paper the homogenization methodology is discussed and validated with experimental data. A comparison of the various two-phase micromechanics models commonly found in literature are reviewed and compared for their applicability to accurately homogenize organic substrates. Two case studies are provided to highlight the importance of accurately modeling the anisotropy of the substrates for temperature cycling and bend reliability predictions.
Style APA, Harvard, Vancouver, ISO itp.
4

Mori, Hiroyuki, Sayuri Kohara, Keishi Okamoto, Hirokazu Noma i Kazushige Toriyama. "Effects of Low CTE Materials on Thermal Deformation of Organic Substrates in Flip Chip Package Application". W ASME 2015 International Technical Conference and Exhibition on Packaging and Integration of Electronic and Photonic Microsystems collocated with the ASME 2015 13th International Conference on Nanochannels, Microchannels, and Minichannels. American Society of Mechanical Engineers, 2015. http://dx.doi.org/10.1115/ipack2015-48741.

Pełny tekst źródła
Streszczenie:
Coefficient of thermal expansion (CTE) characteristic of organic materials for substrates in flip chip package application demanded by semiconductor package requirements is becoming lower than ever. In general, height restrictions are imposed on package-on-package (PoP) devices in mobile applications. One should hence establish a tight budget on the height variation in manufacturing of the devices. Given such background, a lowering of the CTE characteristic of package substrates is an attractive solution for reducing package deformation upon manufacturing, since it contributes to minimize CTE mismatch of the substrates with silicon chips. In large-die flip chip applications such as high-end processors, a lower CTE substrate can mitigate mechanical stress not only on low-k layers in back end of the line (BEOL) underneath the chip bumps, but also on underfill layers during thermal cycling. Therefore an introduction of lower CTE materials in organic substrates is becoming essential for future applications of electronic devices. In this paper, thermal deformation behaviors of organic substrates associated with lowering of the CTEs of their constituent materials are analyzed by finite element analysis (FEA). The analyses are done on a 3-2-3 build-up layer structure substrate in order to focus onto typical application specific integrated circuit (ASIC) products. A finite element model for a test substrate is constructed by a method in which the substrate is divided into sections according to its circuitry patterns so that the lateral inhomogeneity of mechanical property is taken into account. The finite element analyses using the model showed that the package warpage decreases with lowering of the effective CTE of the substrate, but the warpage of the substrate itself increases and its surface profile changes from a concave shape to a convex shape. The analysis result of substrate warpage variation with the build-up material’s CTE showed that the selection of build-up materials with appropriate material properties can contribute to reduce the substrate warpage. The analysis also showed that the adverse impact to the substrate’s CTE reduction by such material selection is limited.
Style APA, Harvard, Vancouver, ISO itp.
5

Vernon, S. P., i S. L. Baker. "Recovery of EUV Lithography Substrates". W Extreme Ultraviolet Lithography. Washington, D.C.: Optica Publishing Group, 1994. http://dx.doi.org/10.1364/eul.1994.rmm.222.

Pełny tekst źródła
Streszczenie:
Mo/Si multilayers were removed from superpolished zerodur and fused silica substrates with a dry etching process that, under suitable processing conditions, produces negligible change in either the substrate surface figure or surface roughness. Full recovery of the initial normal incidence extreme ultra-violet (EUV) reflectance response has been demonstrated on reprocessed substrates.
Style APA, Harvard, Vancouver, ISO itp.
6

Yap, D., P. E. Burrows i S. R. Forrest. "Arrays of Flip-Chip Mounted, Surface-Illuminated, Crystalline-Organic Optoelectronic Modulators on Glass Substrates". W Organic Thin Films for Photonic Applications. Washington, D.C.: Optica Publishing Group, 1995. http://dx.doi.org/10.1364/otfa.1995.wb.2.

Pełny tekst źródła
Streszczenie:
Thin films of organic molecular crystals are promising optoelectronic materials. Some of these crystalline organic materials can have very high optical nonlinearities. Conventional optoelectronic devices are usually formed on crystalline semiconductor substrates such as GaAs, InP or silicon which have a good lattice match with the optoelectronic material. In contrast, by using the ultrahigh vacuum process of organic molecular-beam deposition (OMBD), the crystalline organic materials can be deposited on highly lattice-mismatched substrates without generating defects.1 Thus, devices can potentially be fabricated on a variety of substrates such as glasses, semiconductors, ceramics and polymers. This feature enables selection of the substrate to be based on considerations such as microwave or thermal properties, manufacturability or cost rather than being limited by the substrate’s lattice match. Furthermore, it opens the door to a variety of new applications that require conformally embedded optoelectronic modules or large-area sheets of optoelectronic devices.
Style APA, Harvard, Vancouver, ISO itp.
7

Zhang, Martin Y., Qiong Nian i Gary J. Cheng. "Deposition of Al-Doped Zinc Oxide by Direct Pulsed Laser Recrystallization at Room Temperature on Various Substrates for Solar Cell Applications". W ASME 2012 International Manufacturing Science and Engineering Conference collocated with the 40th North American Manufacturing Research Conference and in participation with the International Conference on Tribology Materials and Processing. American Society of Mechanical Engineers, 2012. http://dx.doi.org/10.1115/msec2012-7381.

Pełny tekst źródła
Streszczenie:
In this study, a method combining room temperature pulsed laser deposition (PLD) and direct pulsed laser recrystallization (DPLR) are introduced to deposit superior transparent conductive oxide (TCO) layer on low melting point flexible substrates. As an indispensable component of thin film solar cell, TCO layer with a higher quality will improve the overall performance of solar cells. Alumina-doped zinc oxide (AZO), as one of the most promising TCO candidates, has now been widely used in solar cells. However, to achieve optimal electrical and optical properties of AZO on low melting point flexible substrate is challenging. Recently developed direct pulsed laser recrystallization (DPLR) technique is a scalable, economic and fast process for point defects elimination and recrystallization at room temperature. It features selective processing by only heating up the TCO thin film and preserve the underlying substrate at low temperature. In this study, 250 nm AZO thin film is pre-deposited by pulsed laser deposition (PLD) on flexible and rigid substrates. Then DPLR is introduced to achieve a uniform TCO layer on low melting point flexible substrates, i.e. commercialized Kapton polyimide film and micron-thick Al-foil. Both finite element analysis (FEA) simulation and designed experiments are carried out to demonstrate that DPLR is promising in manufacturing high quality AZO layers without any damage to the underlying flexible substrates. Under appropriate experiment conditions, such as 248 nm in laser wavelength, 25 ns in laser pulse duration, 15 laser pulses at laser fluence of 25 mJ/cm2, desired temperature would result in the AZO thin film and activate the grain growth and recrystallization. Besides laser conditions, the thermal conductivity and crystallinity of the substrate serve as additional factors in the DPLR process. It is found that the substrate’s thermal conductivity correlates positively with the AZO crystal size; the substrate’s crystallinity correlates positively with the AZO film’s crystallinity. The thermal expansion of substrate would also contribute to the film tensile stress after processed by DPLR technique. The overall results indicate that DPLR technique is useful and scalable for flexible solar cell manufacturing.
Style APA, Harvard, Vancouver, ISO itp.
8

Lettieri, Thomas R., i Egon Marx. "Resonance light scattering from microspheres on substrates". W OSA Annual Meeting. Washington, D.C.: Optica Publishing Group, 1987. http://dx.doi.org/10.1364/oam.1987.thf3.

Pełny tekst źródła
Streszczenie:
In a recent investigation, Hill et al. show that the wavelengths of sharp structural resonances in the fluorescence emission from single dielectric microspheres resting on glass and metallic substrates are the same as those for unsupported microspheres.1 The objective in the present study was to obtain resonance light-scattering (RLS) spectra from an ensemble of nonfluorescing dielectric microspheres. The incident light from a dye laser was swept continuously through a range of wavelengths, and the intensity of the elastically scattered light at 90° was recorded as a function of wavelength. The resultant RLS spectrum was then compared to Mie-scattering computer calculations for unsupported microspheres, averaged over a diameter distribution. Three different types of substrate were used: ultrablack-coated metal; black glass; and clear glass. For polystyrene microspheres on the ultrablack-coated metal substrate, the experimental RLS spectra were in good agreement with Mie-scattering calculations for unsupported dielectric microspheres. This was true regardless of the tilt angle of the substrate relative to the incident and scattered light fields as long as the scattering angle was not changed. However, for microspheres on the black- and clear-glass substrates, the match with Mie calculations was not so good; in particular, the RLS patterns changed appreciably as the substrates were tilted. This is most likely due to the light reflected from the glass substrates which was not present with the highly absorbing ultrablack-coated metal substrate.
Style APA, Harvard, Vancouver, ISO itp.
9

Sarvestani, Alireza. "Kinetics of Membrane Spreading on Compliant Bio-Adhesive Substrates". W ASME 2010 First Global Congress on NanoEngineering for Medicine and Biology. ASMEDC, 2010. http://dx.doi.org/10.1115/nemb2010-13321.

Pełny tekst źródła
Streszczenie:
The contact formation between cell membrane and a bio-adhesive substrate is driven by binding between transmembrane mobile receptors (e.g., integrin) and complementary ligand molecules on the substrate (fibronectin, collagen, etc.) This short range specific adhesion is alleviated by a phalanx of interfacial non-specific forces. In addition to cell-substrate interfacial interactions, cell adhesion can be mediated by a wide range of substrate physiochemical properties. In particular, mechanical stiffness of the substrate has been recognized as one of the major regulators for bio-adhesion. Cells in general, exhibit an apparent adhesion preference for stiffer substrates and switch from a round to spread morphology as the substrate stiffness increases. Understanding the mechano-chemical pathways mediating the interplay between the substrate properties and cell behavior could be critical for effective performance of synthetic biomaterials in tissue engineering applications. In this study, we consider the effect of substrate elasticity on the dynamics of membrane spreading and growth of focal adhesion zone. The formation and growth of the focal adhesion points during the early stage of adhesion process is a result of spontaneous spreading of membrane on the substrate. This can be considered as a non-equilibrium kinetic process which is controlled by the diffusibility of receptor molecules. In order to study the effect of substrate elasticity on the kinetics of membrane-substrate association, receptors are assumed as ideal solute particles laterally diffusing within the plane of the membrane until they are stabilized through association with their complementary ligands which are immobilized on the surface of a compliant substrate. Considering different mechanical stiffness for the substrates, the displacement and speed of spreading at the edge of adhesion zone are predicted as a function of time. Results show that decreasing the stiffness of bio-adhesive substrates reduces the rate of membrane spreading, due to a weaker thermodynamic force which drives the membrane-substrate association. This mechanism restrains the growth of focal adhesion zones on compliant substrates and can be considered as a reason for smaller spread area of the cells after stabilization of adhesion.
Style APA, Harvard, Vancouver, ISO itp.
10

Dobrowolski, J. A., Parmjeet Panchhi i Martin High. "AR Coatings Designed For Two Different Substrates". W Optical Interference Coatings. Washington, D.C.: Optica Publishing Group, 1995. http://dx.doi.org/10.1364/oic.1995.mb7.

Pełny tekst źródła
Streszczenie:
In a commercial thin film coating shop hundreds of different substrates need to be antireflection-coated every month. These substrates are frequently made of different materials. The construction parameters of an ideal antireflection coating depend on the spectral region over which the reflectance is to be reduced and are usually different for each substrate material. This means that substrates of different materials need to be coated in separate runs.
Style APA, Harvard, Vancouver, ISO itp.

Raporty organizacyjne na temat "Substrates"

1

CBL CORP REDWOOD CITY CA. Engineered GaN Substrates. Fort Belvoir, VA: Defense Technical Information Center, wrzesień 1996. http://dx.doi.org/10.21236/ada324733.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
2

Loboda, Mark J., Eric P. Carlson, Gilyong Chung i Rebecca S. Lauer. Q4 Known Good Substrates. Fort Belvoir, VA: Defense Technical Information Center, wrzesień 2008. http://dx.doi.org/10.21236/ada486657.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
3

Loboda, Mark, Eric Carlson, Gilyong Chung i Brian Russell. Q2 Known Goods Substrates. Fort Belvoir, VA: Defense Technical Information Center, czerwiec 2006. http://dx.doi.org/10.21236/ada450595.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
4

Whetten, Robert L. Nanocrystals on Inert Substrates. Fort Belvoir, VA: Defense Technical Information Center, czerwiec 1992. http://dx.doi.org/10.21236/ada251486.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
5

Branam, Tracy, Robin Green, Oliver Wittman i Anne Ayre. Sulfates in Indiana Substrates. Purdue University, grudzień 2016. http://dx.doi.org/10.5703/1288284316342.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
6

Loboda, Mark, Eric Carlson, Gilyong Chung i Brian Russell. Q5 Known Good Substrates. Fort Belvoir, VA: Defense Technical Information Center, luty 2007. http://dx.doi.org/10.21236/ada463083.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
7

Loboda, Mark, Eric Carlson, Gilyong Chung i Brian Russell. Q2 Known Good Substrates. Fort Belvoir, VA: Defense Technical Information Center, marzec 2008. http://dx.doi.org/10.21236/ada478667.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
8

Loboda, Mark J., Eric Carlson, Gilyong Chung i Brian Russel. Q3 Known Good Substrates. Fort Belvoir, VA: Defense Technical Information Center, czerwiec 2008. http://dx.doi.org/10.21236/ada483091.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
9

Tsvetkov, Lyuben. Identification of New Chk2 Substrates. Fort Belvoir, VA: Defense Technical Information Center, lipiec 2002. http://dx.doi.org/10.21236/ada410391.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
10

Loboda, Mark, Eric Carlson, Gilyong Chung i Brian Russell. Known Good Substrates Year 1. Fort Belvoir, VA: Defense Technical Information Center, grudzień 2007. http://dx.doi.org/10.21236/ada474892.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
Oferujemy zniżki na wszystkie plany premium dla autorów, których prace zostały uwzględnione w tematycznych zestawieniach literatury. Skontaktuj się z nami, aby uzyskać unikalny kod promocyjny!

Do bibliografii