Artykuły w czasopismach na temat „Nano imprint lithographie”

Kliknij ten link, aby zobaczyć inne rodzaje publikacji na ten temat: Nano imprint lithographie.

Utwórz poprawne odniesienie w stylach APA, MLA, Chicago, Harvard i wielu innych

Wybierz rodzaj źródła:

Sprawdź 50 najlepszych artykułów w czasopismach naukowych na temat „Nano imprint lithographie”.

Przycisk „Dodaj do bibliografii” jest dostępny obok każdej pracy w bibliografii. Użyj go – a my automatycznie utworzymy odniesienie bibliograficzne do wybranej pracy w stylu cytowania, którego potrzebujesz: APA, MLA, Harvard, Chicago, Vancouver itp.

Możesz również pobrać pełny tekst publikacji naukowej w formacie „.pdf” i przeczytać adnotację do pracy online, jeśli odpowiednie parametry są dostępne w metadanych.

Przeglądaj artykuły w czasopismach z różnych dziedzin i twórz odpowiednie bibliografie.

1

Yang, Ki Yeon, Sung Hoon Hong, Heon Lee i Jeong Woo Choi. "Fabrication of Nano-Sized Gold Dot Array Using Bi-Layer Nano Imprint Lithography". Materials Science Forum 510-511 (marzec 2006): 446–49. http://dx.doi.org/10.4028/www.scientific.net/msf.510-511.446.

Pełny tekst źródła
Streszczenie:
UV nano imprint lithography (UV NIL) that uses a monomer based UV curable monomer resin is proposed as a method of imprinting at low temperature and pressure. The fabrication of high fidelity patterns on a topographical substrate is a formidable challenge. To accomplish this, the use of bi-layer nano imprint lithography, which involves the use of an easily removable under-layer and an imprinted pattern, is proposed. We hypothesized that by etching the under layer by oxygen RIE, we might be able to build the bi-layer patterns for easy lift-off and fabricate nano-sized metal patterns through this lift-off process.
Style APA, Harvard, Vancouver, ISO itp.
2

Yan, Le, Lei Yin i Hong Zhong Liu. "Nanoimprint Lithography of Multistep Loading". Advanced Materials Research 383-390 (listopad 2011): 7214–19. http://dx.doi.org/10.4028/www.scientific.net/amr.383-390.7214.

Pełny tekst źródła
Streszczenie:
In this paper, a method of multistep imprint lithography process is described. Through comparing among the loading process factors, a multistep loading locus, which includes a pre-cure release of the pressing force, is proposed for the high-conformity transfer of nano-patterns from the template to the wafer. A series of imprint experiments show that the new multistep loading process can meet the needs for different pressing areas, feature sizes and repetitious imprints. This loading process can effectively reduce the residual resist thickness while maintaining a uniform residual resist and non-distorted transfer of nano-patterns to the resist-coated wafer. And a high-conformity of 100 nm feature can be achieved.
Style APA, Harvard, Vancouver, ISO itp.
3

Choi, Su Hyun, Do Hyeog Kim, Seonjun Kim, Woo Young Kim, Seok Kim i Young Tae Cho. "Tulip-Shaped Pattern Imprinting for Omni-Phobic Surfaces Using Partially Cured Photopolymer". Applied Sciences 11, nr 4 (16.02.2021): 1747. http://dx.doi.org/10.3390/app11041747.

Pełny tekst źródła
Streszczenie:
Functional films with hydrophobic, oleophobic, anti-fouling, anti-icing, anti-bacterial and low reflectance properties can be produced by patterning nano- or micro-structures on films via nano imprint lithography. Here, an omni-phobic surface showing both hydrophobicity and oleophobicity was obtained without chemical surface treatment by increasing the surface roughness and deforming the pattern morphology using only nano imprint lithography and the oxygen-inhibited curing properties of polyurethane acrylate (PUA) resin. A tulip-shaped pattern imprinting process was designed in which microscale patterns were fabricated using a porous polydimethylsiloxane (PDMS) mold with high oxygen transmission. During ultraviolet (UV) curing, a curing inhibiting layer was formed by reaction with oxygen. Next, a PDMS pad was used for the pressurized curing of the curing inhibition layer to modify the micro scale structures. Finally, final curing of the deformed pattern was performed using ultra high-power UV light. The deformation of the pattern into tulip-like shapes with increased surface roughness was confirmed by microscopy, and contact angle measurement was performed to confirm omni-phobicity. The final cured imprinted samples showed water and oil contact angles reaching 169.2° and 115°, respectively; thus, the omni-phobic surface could be demonstrated by a tulip-shaped pattern imprinting process.
Style APA, Harvard, Vancouver, ISO itp.
4

Ressier, L., E. Palleau i S. Behar. "Electrical nano-imprint lithography". Nanotechnology 23, nr 25 (31.05.2012): 255302. http://dx.doi.org/10.1088/0957-4484/23/25/255302.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
5

Lee, Heon, Ki Yeon Yang i Sung Hoon Hong. "Fabrication of 100nm Sized Patterns on Flexible Polyethylene-Terephthalate Substrate Using Monomer Based Thermal Curing Nanoimprint Lithography". Solid State Phenomena 121-123 (marzec 2007): 657–60. http://dx.doi.org/10.4028/www.scientific.net/ssp.121-123.657.

Pełny tekst źródła
Streszczenie:
In order to build a nano-device on polymer substrate, nano-size patterning must be done. However, conventional photolithography cannot be used to fabricate nano-sized patterns on polymer film due to the flexibility of polymer film and its potential interaction with developer solution and organic solvent. In this study, 100nm sized dense line and space patterns were made on flexible PET (polyethylene-terephthalate) substrate using newly developed monomer based imprinting lithography. Compared to hot embossing lithography, thermal curing imprint lithography uses monomer based imprint resin which consists of base monomer and thermal initiator. Since it is liquid phase and polymerization temperature is much lower than glass temperature of polymer, the nano-sized patterns can be transfer at much lower temperature and pressure. Hence, patterns as small as 100nm were successfully fabricated on flexible PET film substrate by monomer based thermal curing imprinting lithography at 85°C and 5atm.
Style APA, Harvard, Vancouver, ISO itp.
6

Löber, Dennis, Subhayan Dey, Burhan Kaban, Fabian Roesler, Martin Maurer, Hartmut Hillmer i Rudolf Pietschnig. "3D Micro/Nanopatterning of a Vinylferrocene Copolymer". Molecules 25, nr 10 (23.05.2020): 2438. http://dx.doi.org/10.3390/molecules25102438.

Pełny tekst źródła
Streszczenie:
In nanoimprint lithography (NIL), a pattern is created by mechanical deformation of an imprint resist via embossing with a stamp, where the adhesion behavior during the filling of the imprint stamp and its subsequent detachment may impose some practical challenges. Here we explored thermal and reverse NIL patterning of polyvinylferrocene and vinylferrocene-methyl methacrylate copolymers to prepare complex non-spherical objects and patterns. While neat polyvinylferrocene was found to be unsuitable for NIL, freshly-prepared vinylferrocene-methyl methacrylate copolymers, for which identity and purity were established, have been structured into 3D-micro/nano-patterns using NIL. The cross-, square-, and circle-shaped columnar structures form a 3 × 3 mm arrangement with periodicity of 3 µm, 1 µm, 542 nm, and 506 nm. According to our findings, vinylferrocene-methyl methacrylate copolymers can be imprinted without further additives in NIL processes, which opens the way for redox-responsive 3D-nano/micro-objects and patterns via NIL to be explored in the future.
Style APA, Harvard, Vancouver, ISO itp.
7

Crespo-Monteiro, Nicolas, Arnaud Valour, Victor Vallejo-Otero, Marie Traynar, Stéphanie Reynaud, Emilie Gamet i Yves Jourlin. "Versatile Zirconium Oxide (ZrO2) Sol-Gel Development for the Micro-Structuring of Various Substrates (Nature and Shape) by Optical and Nano-Imprint Lithography". Materials 15, nr 16 (15.08.2022): 5596. http://dx.doi.org/10.3390/ma15165596.

Pełny tekst źródła
Streszczenie:
Zirconium oxide (ZrO2) is a well-studied and promising material due to its remarkable chemical and physical properties. It is used, for example, in coatings for corrosion protection layer, wear and oxidation, in optical applications (mirror, filters), for decorative components, for anti-counterfeiting solutions and for medical applications. ZrO2 can be obtained as a thin film using different deposition methods such as physical vapor deposition (PVD) or chemical vapor deposition (CVD). These techniques are mastered but they do not allow easy micro-nanostructuring of these coatings due to the intrinsic properties (high melting point, mechanical and chemical resistance). An alternative approach described in this paper is the sol-gel method, which allows direct micro-nanostructuring of the ZrO2 layers without physical or chemical etching processes, using optical or nano-imprint lithography. In this paper, the authors present a complete and suitable ZrO2 sol-gel method allowing to achieve complex micro-nanostructures by optical or nano-imprint lithography on substrates of different nature and shape (especially non-planar and foil-based substrates). The synthesis of the ZrO2 sol-gel is presented as well as the micro-nanostructuring process by masking, colloidal lithography and nano-imprint lithography on glass and plastic substrates as well as on plane and curved substrates.
Style APA, Harvard, Vancouver, ISO itp.
8

Hirai, Yoshihiko, i Yoshio Tanaka. "Application of Nano-imprint Lithography." Journal of Photopolymer Science and Technology 15, nr 3 (2002): 475–80. http://dx.doi.org/10.2494/photopolymer.15.475.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
9

Bottein, Thomas, Olivier Dalstein, Magali Putero, Andrea Cattoni, Marco Faustini, Marco Abbarchi i David Grosso. "Environment-controlled sol–gel soft-NIL processing for optimized titania, alumina, silica and yttria-zirconia imprinting at sub-micron dimensions". Nanoscale 10, nr 3 (2018): 1420–31. http://dx.doi.org/10.1039/c7nr07491c.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
10

Kim, Hyong-Jun, Wanseo Kim i Hwanhee Cho. "Lambertian Extraction of Light from Organic Light-Emitting Devices Using Randomly Dispersed Sub-Wavelength Pillar Arrays". Journal of Nanoscience and Nanotechnology 21, nr 7 (1.07.2021): 3909–13. http://dx.doi.org/10.1166/jnn.2021.19229.

Pełny tekst źródła
Streszczenie:
A simple light extraction method is reported to minimize the variation in emission spectrum with viewing angle by embedding a light extraction layer, consists of randomly dispersed nano-pillars on a substrate. Nano-imprint lithography technique was employed to fabricate the nano-pillars using ultra-violet curable acrylate. An organic light emitting diode device employing the imprint technology showed 46% enhancement in light extraction efficiency in the forward direction with Lambertian emission pattern and no color change with viewing angle. Moreover, the emitted light does not show any specific periodic patterns.
Style APA, Harvard, Vancouver, ISO itp.
11

Altun, Ali Ozhan, Jun-Ho Jeong, Sung-Un Jung, Ki-Don Kim, Dae-Geun Choi, Jun-Hyuk Choi, Jong-Youp Shim, Dong-II Lee i Eung-Sug Lee. "Stamping-Based Planarization of Flexible Substrate for Low-Pressure UV Nanoimprint Lithography". Journal of Nanoscience and Nanotechnology 8, nr 11 (1.11.2008): 5673–77. http://dx.doi.org/10.1166/jnn.2008.254.

Pełny tekst źródła
Streszczenie:
Patterning flexible substrates in nano scale is an important and challenging issue in the fabrication of next-generation devices based on a non-silicon substrate. Step and Flash imprint lithography (S-FIL®) which is a room temperature and low pressure process offers several important advantages, such as the use of a smaller and therefore cheaper stamp or the possibility of the overlay imprinting, as a transparent stamp is utilized. However, it is very difficult to perform S-FIL on a flexible substrate successfully due to the high waviness. The waviness of a flexible substrate is not a constant value in contrast to a rigid substrate. It depends on the imprint pressure applied onto the substrate. In this paper, in section two, the effect of the imprint pressure on the waviness of the surface of the flexible substrate is examined. It is proved that the waviness of the surface of the flexible substrate could not be reduced sufficiently to assure a successful imprint at low imprint pressures. In the third section, a method of patterning polymer substrates using ultra-violet nanoimprint lithography (UV-NIL) is presented. The method consists of two stages, stamping-based planarization and S-FIL. In stamping-based planarization, a planarization layer of transparent polymer is formed onto the flexible substrate. Waviness of the blank stamp (in this study, glass wafer) is transferred to the planarization layer. S-FIL is performed with the nanoimprint tool IMPRIO100 directly onto the planarization layer employing a 1 × 1 in.2 quartz stamp. Optical microscope and SEM images of the successfully imprinted patterns were also presented.
Style APA, Harvard, Vancouver, ISO itp.
12

Lee, Jihoon, Sung Ho Lee i Moon Kyu Kwak. "Durable Soft Mold for Imprinting of High-Adhesive Resin". Coatings 11, nr 2 (27.01.2021): 137. http://dx.doi.org/10.3390/coatings11020137.

Pełny tekst źródła
Streszczenie:
A variety of polymer resins have been used to fabricate micro/nano structures via imprint lithography. In addition, with an interest in productivity, there is an increasing demand for the study of the process of easily demolding a cured resin from a mold for continuous fabrication of micro/nanostructures applying imprint lithography to the roll-type equipment. Among these polymer resins, Norland optical adhesive (NOA) in particular is widely used to fabricate micro/nano structure-based functional surfaces because of its shape memory characteristics, biocompatibility, and great optical characteristics. However, the cured NOA is originally used as an epoxy-based adhesive with its high adhesion. NOA has many advantages as a UV-curable adhesive, but as a resin in the imprint process, such adhesion brings a limitation. This high adhesion of cured NOA causes defects in the mold during the demolding process, so it is difficult to apply it to the continuous fabrication process. Here, we present a durable polyurethane acrylate (PUA) soft mold capable of clean demolding of an epoxy-based polymer resin having high adhesion by depositing metal on a surface. Au and Ni were deposited to a thickness of 100 Å by using an E-beam evaporator. To verify the surface characteristics, each metal-deposited soft mold was compared with the previously used soft mold by measuring the contact angle and calculating surface energy. To test a performance of our soft mold, we imprinted nanoline pattern with NOA as a resin using metal-deposited soft mold in roll to roll (R2R) process for more than 240 replications for 90 min of operation time. It is expected that this study can be used for mass production of pattern with epoxy-based patterns required in many fields.
Style APA, Harvard, Vancouver, ISO itp.
13

Schift, Helmut, Sunggook Park i Jens Gobrecht. "Nano-Imprint-Molding Resists for Lithography". Journal of Photopolymer Science and Technology 16, nr 3 (2003): 435–38. http://dx.doi.org/10.2494/photopolymer.16.435.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
14

Marín, Jose Manuel Román, Henrik Koblitz Rasmussen i Ole Hassager. "3D Simulation of Nano-Imprint Lithography". Nanoscale Research Letters 5, nr 2 (13.11.2009): 274–78. http://dx.doi.org/10.1007/s11671-009-9475-7.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
15

Li, Mingjie, Yulong Chen, Wenxin Luo i Xing Cheng. "Interfacial Interactions during Demolding in Nanoimprint Lithography". Micromachines 12, nr 4 (24.03.2021): 349. http://dx.doi.org/10.3390/mi12040349.

Pełny tekst źródła
Streszczenie:
Nanoimprint lithography (NIL) is a useful technique for the fabrication of nano/micro-structured materials. This article reviews NIL in the field of demolding processes and is divided into four parts. The first part introduces the NIL technologies for pattern replication with polymer resists (e.g., thermal and UV-NIL). The second part reviews the process simulation during resist filling and demolding. The third and fourth parts discuss in detail the difficulties in demolding, particularly interfacial forces between mold (template) and resist, during NIL which limit its capability for practical commercial applications. The origins of large demolding forces (adhesion and friction forces), such as differences in the thermal expansion coefficients (CTEs) between the template and the imprinted resist, or volumetric shrinkage of the UV-curable polymer during curing, are also illustrated accordingly. The plausible solutions for easing interfacial interactions and optimizing demolding procedures, including exploring new resist materials, employing imprint mold surface modifications (e.g., ALD-assisted conformal layer covering imprint mold), and finetuning NIL process conditions, are presented. These approaches effectively reduce the interfacial demolding forces and thus lead to a lower defect rate of pattern transfer. The objective of this review is to provide insights to alleviate difficulties in demolding and to meet the stringent requirements regarding defect control for industrial manufacturing while at the same time maximizing the throughput of the nanoimprint technique.
Style APA, Harvard, Vancouver, ISO itp.
16

Shin, Ju-Hyeon, Bit-Na Go, Hak-Jong Choi, Joong-Yeon Cho, Albert Sung Soo Lee, Seung Sang Hwang, Hyuk Jin Cha i Heon Lee. "Fabrication of functional nanosized patterns with UV-curable polysilsesquioxane on photovoltaic protective glass substrates using hybrid nano-imprint lithography". J. Mater. Chem. C 2, nr 29 (2014): 5864–69. http://dx.doi.org/10.1039/c4tc00101j.

Pełny tekst źródła
Streszczenie:
We fabricated moth-eye structures on photovoltaic (PV) protective glass, a hybrid nano-imprint lithography technique. The efficiency of the PV module with PV protective glass patterned on both sides was enhanced.
Style APA, Harvard, Vancouver, ISO itp.
17

Lee, Taeksu, Sanghee Jung, Soongeun Kwon, Woochang Kim, Jinsung Park, Hyungjun Lim i JaeJong Lee. "Formation of Interstitial Hot-Spots Using the Reduced Gap-Size between Plasmonic Microbeads Pattern for Surface-Enhanced Raman Scattering Analysis". Sensors 19, nr 5 (1.03.2019): 1046. http://dx.doi.org/10.3390/s19051046.

Pełny tekst źródła
Streszczenie:
To achieve an effective surface-enhanced Raman scattering (SERS) sensor with periodically distributed “hot spots” on wafer-scale substrates, we propose a hybrid approach combining physical nano-imprint lithography and a chemical deposition method to form a silver microbead array. Nano-imprint lithography (NIL) can lead to mass-production and high throughput, but is not appropriate for generating strong “hot-spots.” However, when we apply electrochemical deposition to an NIL substrate and the reaction time was increased to 45 s, periodical “hot-spots” between the microbeads were generated on the substrates. It contributed to increasing the enhancement factor (EF) and lowering the detection limit of the substrates to 4.40 × 106 and 1.0 × 10−11 M, respectively. In addition, this synthetic method exhibited good substrate-to-substrate reproducibility (RSD < 9.4%). Our research suggests a new opportunity for expanding the SERS application.
Style APA, Harvard, Vancouver, ISO itp.
18

Lee, HeeJung, Seungmin Hyun, HakJoo Lee, DaeGeun Choi, DongIl Lee i EungSug Lee. "P-37 A study of adhesion force characteristics using rhombus-shaped AFM probe for nano-imprint lithography". Abstracts of ATEM : International Conference on Advanced Technology in Experimental Mechanics : Asian Conference on Experimental Mechanics 2007.6 (2007): _P—37–1_—_P—37–4_. http://dx.doi.org/10.1299/jsmeatem.2007.6._p-37-1_.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
19

HIRAI, Yoshihiko. "Fine Pattern Fabrication by Nano Imprint Lithography". Kobunshi 52, nr 8 (2003): 568. http://dx.doi.org/10.1295/kobunshi.52.568.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
20

Yang, Ki Yeon, Jong Woo Kim, Sung Hoon Hong i Heon Lee. "Patterning of the Self-Assembled Monolayer Using the Zero Residual Nano-Imprint Lithography". Solid State Phenomena 124-126 (czerwiec 2007): 523–26. http://dx.doi.org/10.4028/www.scientific.net/ssp.124-126.523.

Pełny tekst źródła
Streszczenie:
Self-Assembled Monolayer (SAM) is a single layer of ordered molecules absorbed on a surface by chemical bonding between the molecular head group and the surface. The surface properties can be controlled by the terminal functional group of the SAM layer. In order to utilize SAM layers for device applications, SAM layer needs to be patterned as a sub-micron size. Patterning of SAM layer in sub-micron size has been done by various techniques including direct-writing by dip-pen nano lithography, selective etching with UV photons, and selective deposition of SAM layer by &-contact printing. In this study, silane based SAM layer was patterned to the sub-micron size using zero residual Nano imprint Lithography, which is regarded as next generation lithography technique due to its simplicity, high throughput and high resolution pattern transferring capability. Using zero-residual layer imprinting, 300nm~2um sized SAM patterns can successfully fabricated. In order to check the surface property of patterned SAM layer, a solution containing nano Ag particles was spin-coated on the SAM patterned substrate and nano Ag particles were selectively deposited on the substrate.
Style APA, Harvard, Vancouver, ISO itp.
21

OKAZAKI, SHINJI. "CURRENT ISSUES AND FUTURE PROSPECTS OF LITHOGRAPHY". International Journal of High Speed Electronics and Systems 16, nr 01 (marzec 2006): 375–87. http://dx.doi.org/10.1142/s0129156406003709.

Pełny tekst źródła
Streszczenie:
The resolution limit of optical lithography now looms on the horizon. We are using very complicated masks and sophisticated exposure tools with very low k1 factors. To deal with this situation, liquid immersion lithography is now under intensive development. It can extend the application of the optical lithography for one or two generation, but the k1 factor remains very small. To obtain a significantly larger k1 value, we shall move to the non-optical lithography techniques. EUV lithography is the strongest candidate. Many problems of EUV still remain though we have remarkable achievements recently. In addition to the mass production technology, methods such as maskless lithography (ML2) and nano-imprint lithography were also developed for small-scale production and some other applications.
Style APA, Harvard, Vancouver, ISO itp.
22

Lee, Jae Jong, Seung Woo Lee, Hyun Taek Cho, Gee Hong Kim i Kee Bong Choi. "Single-Step UV Nanoimprinting Lithography with Multi-Head Imprinting System and Its Applications". Key Engineering Materials 326-328 (grudzień 2006): 441–44. http://dx.doi.org/10.4028/www.scientific.net/kem.326-328.441.

Pełny tekst źródła
Streszczenie:
The contact-based nanoimprinting lithography (NIL), such as thermal and/or UV nano-imprint, has been well known as one of the next generation lithography alternatives. Especially, the UV nanoimprinting lithography technology has the advantages in terms of process simplicity, low cost, high replication fidelity, and relatively high throughput. The UV nanoimprinting lithography tool is built with the characteristic functions like a self-alignment wafer stage, a nanoimprinting head unit, an alignment system for multi-layer process, stamp/wafer chucking units, releasing unit, and anti-vibration unit, etc. This UV-NIL tool is comprised of UV light source using mercury lamp, ultra-fine XY stage with nano-level positioning accuracy, and self-adjusting flexure stage. The self-adjusting stage has the capability to control 6- axes positions of wafer-holder. The UV-NIL tool can be used for fabrication of some functional nanostructure-patterns i.e. nanosensor electrodes, optical grating patterns and 70nm rectangle patterns.
Style APA, Harvard, Vancouver, ISO itp.
23

Zhang, Yuan, Sherjang Singh, Ssuwei Chen, Peter Dress i Uwe Dietze. "Mask Cleaning in EUV and Nano-Imprint Lithography". ECS Transactions 27, nr 1 (17.12.2019): 467–72. http://dx.doi.org/10.1149/1.3360661.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
24

Moran, Isaac W., Alejandro L. Briseno, Stephen Loser i Kenneth R. Carter. "Device Fabrication by Easy Soft Imprint Nano-Lithography". Chemistry of Materials 20, nr 14 (lipiec 2008): 4595–601. http://dx.doi.org/10.1021/cm800480z.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
25

DiBiase, T., M. Ahamdian i I. Malik. "Comprehensive defect analysis methodology for nano imprint lithography". Microelectronic Engineering 84, nr 5-8 (maj 2007): 989–93. http://dx.doi.org/10.1016/j.mee.2007.01.080.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
26

Wang, Li, Bing-heng Lu, Yu-cheng Ding, Zhi-hui Qiu i Hong-zhong Liu. "A nano-scale alignment method for imprint lithography". Frontiers of Mechanical Engineering in China 1, nr 2 (czerwiec 2006): 157–61. http://dx.doi.org/10.1007/s11465-006-0014-2.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
27

Drieschner, Simon, Fabian Kloiber, Marc Hennemeyer, Jan J. Klein i Manuel W. Thesen. "High quality diffractive optical elements (DOEs) using SMILE imprint technique". Advanced Optical Technologies 10, nr 1 (25.01.2021): 11–16. http://dx.doi.org/10.1515/aot-2020-0053.

Pełny tekst źródła
Streszczenie:
Abstract Augmented reality (AR) enhancing the existing natural environment by overlaying a virtual world is an emerging and growing market and attracts huge commercial interest into optical devices which can be implemented into head-mounted AR equipment. Diffractive optical elements (DOEs) are considered as the most promising candidate to meet the market’s requirements such as compactness, low-cost, and reliability. Hence, they allow building alternatives to large display headsets for virtual reality (VR) by lightweight glasses. Soft lithography replication offers a pathway to the fabrication of large area DOEs with high aspect ratios, multilevel features, and critical dimensions below the diffractive optical limit down to 50 nm also in the scope of mass manufacturing. In combination with tailored UV-curable photopolymers, the fabrication time can be drastically reduced making it very appealing to industrial applications. Here, we illustrate the key features of high efficiency DOEs and how the SMILE (SUSS MicroTec Imprint Lithography Equipment) technique can be used with advanced imprint photopolymers to obtain high quality binary DOEs meeting the market’s requirements providing a very versatile tool to imprint both nano- and microstructures.
Style APA, Harvard, Vancouver, ISO itp.
28

Goto, Kohei, i Jun Taniguchi. "Fabrication of bio-inspired 3D nanoimprint mold using acceleration-voltage-modulation electron-beam lithography". Advanced Optical Technologies 8, nr 3-4 (26.06.2019): 289–97. http://dx.doi.org/10.1515/aot-2019-0017.

Pełny tekst źródła
Streszczenie:
Abstract Methods for fabricating micro- and nanoscale three-dimensional (3D) structures such as electron-beam lithography (EBL) attracted attention in various fields. In EBL, an acceleration-voltage modulation method can be used to control the developing depth of the structure. In this study, we fabricated a rose petal structure using acceleration-voltage modulation. Using a rose petal mold, plastic- and silver-duplicated rose petals were prepared using nano-imprint lithography (NIL). We demonstrated that various complex 3D structures and materials can be duplicated using NIL by applying an acceleration-voltage modulation method.
Style APA, Harvard, Vancouver, ISO itp.
29

Byeon, Kyeong Jae, Sung Hoon Hong, Ki Yeon Yang, Deok Kee Kim i Heon Lee. "Embossing on Epoxy Thermoset Polymer Using SiO2 Coated Nickel Template". Materials Science Forum 539-543 (marzec 2007): 3580–85. http://dx.doi.org/10.4028/www.scientific.net/msf.539-543.3580.

Pełny tekst źródła
Streszczenie:
Embossing or imprint lithography is the key-technology for the mass production of nanosized structures with low cost. Currently Si or quartz template which is produced by e-beam or DUV lithography and reactive ion etching, is used. However they are very expensive and easily damaged due to their brittleness. On the other hand, Ni template has high mechanical durability and can be fabricated with low cost by electroplating. However, one of the key obstacles of Ni template is poor antistiction property, when it is used with sticky thermoset polymer. Due to its poor antistiction property, detachment of Ni template from epoxy substrate is one of the key obstacles. In this experiment, quartz template with 150nm to 1μm sized surface protrusion was fabricated and used to emboss the PMMA coated Si wafer. Then the imprinted PMMA layer was coated with metal seed layer and electroplating of Ni was followed to fabricate Ni template with 150nm to 1μm sized patterns. In order to form antistiction layer on Ni template, SAM antistiction layer was formed on SiO2 coated Ni template. As a result, nano patterns could be successfully transferred to sticky thermoset polymer using Ni template without any degradation of antistiction property.
Style APA, Harvard, Vancouver, ISO itp.
30

Byeon, Kyeong Jae, Sung Hoon Hong, Ki Yeon Yang, Deok Kee Kim i Heon Lee. "Embossing on Epoxy Thermoset Polymer Using SiO2 Coated Nickel Template". Materials Science Forum 539-543 (marzec 2007): 968–73. http://dx.doi.org/10.4028/www.scientific.net/msf.539-543.968.

Pełny tekst źródła
Streszczenie:
Embossing or imprint lithography is the key-technology for the mass production of nanosized structures with low cost. Currently Si or quartz template which is produced by e-beam or DUV lithography and reactive ion etching, is used. However they are very expensive and easily damaged due to their brittleness. On the other hand, Ni template has high mechanical durability and can be fabricated with low cost by electroplating. However, one of the key obstacles of Ni template is poor antistiction property, when it is used with sticky thermoset polymer. Due to its poor antistiction property, detachment of Ni template from epoxy substrate is one of the key obstacles. In this experiment, quartz template with 150nm to 1μm sized surface protrusion was fabricated and used to emboss the PMMA coated Si wafer. Then the imprinted PMMA layer was coated with metal seed layer and electroplating of Ni was followed to fabricate Ni template with 150nm to 1μm sized patterns. In order to form antistiction layer on Ni template, SAM antistiction layer was formed on SiO2 coated Ni template. As a result, nano patterns could be successfully transferred to sticky thermoset polymer using Ni template without any degradation of antistiction property.
Style APA, Harvard, Vancouver, ISO itp.
31

Park, Kyoung Hoon, Jun Hong Park i Dong Pyo Kim. "Fabrication of Nanoscale SiC-Based Ceramic Patterns with Near-Zero Residual Layers by Using Imprinting Technique and Reactive Ion Etching". Materials Science Forum 510-511 (marzec 2006): 766–69. http://dx.doi.org/10.4028/www.scientific.net/msf.510-511.766.

Pełny tekst źródła
Streszczenie:
Nano-scale SiC-based ceramic patterns on Si substrates were fabricated via imprint lithography technique by using viscous polyvinylsilane as a ceramic precursor and economic nano-scale master such as CD, followed by pyrolysis at 800oC under nitrogen atmosphere. The thickness of residual layers was controlled by varying the spin-coating conditions (solution concentration, spinning speed) and the patterning conditions (molding pressure). In addition, for the effective removal of the remaining residual layer, the etching kinetics of both polymeric and ceramic patterns was also comparatively studied by Ar or reactive ion etching process.
Style APA, Harvard, Vancouver, ISO itp.
32

Nagase, Kenichi, Risa Shukuwa, Takahiro Onuma, Masayuki Yamato, Naoya Takeda i Teruo Okano. "Micro/nano-imprinted substrates grafted with a thermoresponsive polymer for thermally modulated cell separation". Journal of Materials Chemistry B 5, nr 30 (2017): 5924–30. http://dx.doi.org/10.1039/c7tb01251a.

Pełny tekst źródła
Streszczenie:
Thermoresponsive convex or concave substrates were developed as functional cell separation materials by the combination of thermal nano-imprinted lithography and subsequent surface-initiated ATRP of PIPAAm.
Style APA, Harvard, Vancouver, ISO itp.
33

Renn, Jyh Chyang, Yi An Yang i Cherng Shyong Chan. "Developing a Moving-Coil Actuator for Nano-Imprint Lithography System". Materials Science Forum 505-507 (styczeń 2006): 1027–32. http://dx.doi.org/10.4028/www.scientific.net/msf.505-507.1027.

Pełny tekst źródła
Streszczenie:
Nano-imprint lithography (NIL) is one of the most promising technologies for the massproduction of nano-meter patterns. A commercial hydraulic press and a pair of hot plates were used as the NIL system reported so far. It is, however, large and heavy. In this paper, therefore, a new moving-coil actuator is proposed and is intended to be used as the driving power for a compact NIL system. Compared to the commercial hydraulic NIL system, two advantages of the new NIL system using the moving-coil actuator are the ease of precise control as well as the compact dimensions. Thus, it is suitable for the fabrication and replication of small-sized nano-meter patterns. To develop such a new moving-coil actuator, the electromagnetic finite element method (FEM) is utilized. In addition, a simple but effective state-space model is also established to describe the dynamic behavior of the moving-coil actuator. Finally, a series of simulations and experiments are carried out and the results show that the positioning accuracy of the developed moving-coil actuator is 1µm.
Style APA, Harvard, Vancouver, ISO itp.
34

Kim, Jung Yup, Jae Hyun Kim i Byung Ik Choi. "Mechanical Behavior Simulation of PMMA for Nano Imprint Lithography Using Molecular Dynamics". Key Engineering Materials 345-346 (sierpień 2007): 979–82. http://dx.doi.org/10.4028/www.scientific.net/kem.345-346.979.

Pełny tekst źródła
Streszczenie:
NIL(Nano Imprint Lithography) is one of the most promising lithography techniques. There are many variants of NIL, and two major techniques of them are thermal NIL and UV NIL. Here, we focus ourselves on the thermal NIL. During the thermal NIL, the polymeric patterns experience large mechanical strain and high temperature, and this often leads to malformation of polymeric patterns. So it is needed to improve the pattern fidelity and contrast, and these are believed to be closely related to the process condition and mechanical properties. In thermal NIL, PMMA is widely used and chosen as target polymer. Generally, mechanical properties in nano scale are really hard to acquire. In this study, we estimate the mechanical properties of PMMA by molecular dynamic simulation. These properties will be used as input of continuum simulation. We will estimate stress-strain relationship of PMMA. This stress-strain relationship depends on strain rate and temperature. So we will study about strain rate and temperature effect.
Style APA, Harvard, Vancouver, ISO itp.
35

Li, Changhe, YuPing Wei i Sheng Wang. "Developments and Recent Patents on Nano-Imprint Lithography Techniques". Recent Patents on Mechanical Engineering 6, nr 1 (1.12.2012): 37–47. http://dx.doi.org/10.2174/2212797611206010004.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
36

Han, Kang-Soo, Sung-Hoon Hong, Kang-In Kim, Joong-Yeon Cho, Kyung-woo Choi i Heon Lee. "Fabrication of 3D nano-structures using reverse imprint lithography". Nanotechnology 24, nr 4 (4.01.2013): 045304. http://dx.doi.org/10.1088/0957-4484/24/4/045304.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
37

Dauksher, W. J., N. V. Le, E. S. Ainley, K. J. Nordquist, K. A. Gehoski, S. R. Young, J. H. Baker, D. Convey i P. S. Mangat. "Nano-imprint lithography: Templates, imprinting and wafer pattern transfer". Microelectronic Engineering 83, nr 4-9 (kwiecień 2006): 929–32. http://dx.doi.org/10.1016/j.mee.2006.01.075.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
38

Hirai, Yoshihiko, Satoshi Harada, Satoshi Isaka, Michio Kobayashi i Yoshio Tanaka. "Nano-Imprint Lithography Using Replicated Mold by Ni Electroforming". Japanese Journal of Applied Physics 41, Part 1, No. 6B (30.06.2002): 4186–89. http://dx.doi.org/10.1143/jjap.41.4186.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
39

Tsai, Hung Yin, Ching Wen Liu i Chia Jen Ting. "Fabrication of AR Film Using Nano-Imprint Process with a Diamond Mold". Advanced Materials Research 512-515 (maj 2012): 2072–75. http://dx.doi.org/10.4028/www.scientific.net/amr.512-515.2072.

Pełny tekst źródła
Streszczenie:
Owning to the robust characteristics of diamonds, a nano-tip array structured mold was fabricated with diamond, we can then use this mold to produce anti-reflection (AR) films with nanoimprint lithography. Taking advantage of the self-ordered characteristic of anodic aluminum oxide (AAO), we can obtain the diamond mold by depositing a layer of diamond on the AAO using hot filament chemical vapor deposition (HFCVD). Then taking advantage of the high through-put characteristics of nanoimprint lithography, AR films can be mass produced. The AR films were subjected to reflectivity inspections, a 5.5% reduction in reflectivity was obtained.
Style APA, Harvard, Vancouver, ISO itp.
40

Vigneswaran, N., Fahmi Samsuri, Balu Ranganathan i Padmapriya. "Recent Advances in Nano Patterning and Nano Imprint Lithography for Biological Applications". Procedia Engineering 97 (2014): 1387–98. http://dx.doi.org/10.1016/j.proeng.2014.12.420.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
41

Lee, Heon, Ki Yeon Yang, Sung Hoon Hong, C. D. Schaper i Gun Young Jung. "Nano-Imprint Lithography of 100nm Sized Patterns Using Water Soluble PVA, Poly(Vinyl Alcohol), Template". Solid State Phenomena 121-123 (marzec 2007): 661–64. http://dx.doi.org/10.4028/www.scientific.net/ssp.121-123.661.

Pełny tekst źródła
Streszczenie:
Flexible polyvinyl alcohol (PVA) templates with nano-sized patterns were fabricated by spin coating of PVA resin on silicon master wafer. Since PVA template has enough UV transparency, mechanical strength and thermal durability, it can be used as the template for UV-based and thermal nanoimprint lithography. The replicated patterns on the PVA template were transferred faithfully to the imprinted resin by imprinting lithography. As PVA template was dissolved in water, it was not necessary to deposit a releasing layer on the PVA template surface.
Style APA, Harvard, Vancouver, ISO itp.
42

Hu, Guo Lin, Ming Syuan Chen, Chien Chung Chen, Hung Shan Chen, Chueh Ju Chen, Chang Chiang Cheng i Yu Cheng Lin. "80‐2: Liquid Crystal Surface Relief Diffractive Lens for Presbyopia". SID Symposium Digest of Technical Papers 54, nr 1 (czerwiec 2023): 1125–27. http://dx.doi.org/10.1002/sdtp.16770.

Pełny tekst źródła
Streszczenie:
We demonstrated a two‐step tunable liquid crystal (LC) surface relief diffractive lens for presbyopia. The surface relief diffractive structure is fabricated by nano‐imprint lithography (NIL) roll‐to‐stage process. The LC lens is polarization‐independent with only one cholesteric liquid crystal (CLC) layer. The LC lens can be fabricated by LC one‐drop fill (ODF) process. This is useful for presbyopia vision correction. In this paper, we realized two‐step switched liquid crystal lens with optical power 0 and +2 diopters.
Style APA, Harvard, Vancouver, ISO itp.
43

Dănilă, Octavian, Doina Mănăilă-Maximean, Ana Bărar i Valery A. Loiko. "Non-Layered Gold-Silicon and All-Silicon Frequency-Selective Metasurfaces for Potential Mid-Infrared Sensing Applications". Sensors 21, nr 16 (19.08.2021): 5600. http://dx.doi.org/10.3390/s21165600.

Pełny tekst źródła
Streszczenie:
We report simulations on the spectral behavior of non-layered gold-silicon and all-silicon frequency-selective metasurfaces in an asymmetric element configuration in the mid-infrared spectral window of 5–5.8 μm. The non-layered layout is experimentally feasible due to recent technological advances such as nano-imprint and nano-stencil lithography, and the spectral window was chosen due to the multitude of applications in sensing and imaging. The architecture exhibits significant resonance in the window of interest as well as extended tunability by means of variation of cell element sizes and relative coordinates. The results indicate that the proposed metasurface architecture is a viable candidate for mid-infrared absorbers, sensors and imaging systems.
Style APA, Harvard, Vancouver, ISO itp.
44

Son, Ji Won, Nam Ho Song, Sung Han Rhim i Soo Ik Oh. "Prediction of Defects in Nano-Imprint Lithography Using FEM Simulation". Key Engineering Materials 345-346 (sierpień 2007): 665–68. http://dx.doi.org/10.4028/www.scientific.net/kem.345-346.665.

Pełny tekst źródła
Streszczenie:
In nano-imprint lithography (NIL) process, which has shown to be a good method to fabricate polymeric patterns, several kinds of pattern defects due to thermal effects during polymer flow and mold release operation have been reported. A typical defect in NIL process with high aspect ratio and low resist thickness pattern is a resist fracture during the mold release operation. It seems due to interfacial adhesion between polymer and mold. In the present investigation, FEM simulation of NIL process was carried out to predict the defects of the polymer pattern and to optimize the process by FEA. To achieve the above mentioned purpose, FEM simulation technique based on constitutive modeling of polymer with experiments was firstly investigated [1]. Secondly, the embossing operation in NIL process was investigated in detail by FEM. From the analytical results, it was found that the non-uniform flow-pattern of polymer and the applied pressure in the embossing operation induce the cavity and the drastic lateral-strain at the edge of pattern. It was also shown that the low polymer-thickness result in the delamination of polymer from the substrate. It seems that the above phenomena cause the defects of the final polymer pattern.
Style APA, Harvard, Vancouver, ISO itp.
45

Sekiguchi, Atsushi, Yoshiyuki Kono i Yoshihiko Hirai. "Study on Polymer Materials Evaluation System for Nano-Imprint Lithography". Journal of Photopolymer Science and Technology 18, nr 4 (2005): 543–49. http://dx.doi.org/10.2494/photopolymer.18.543.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
46

Choi, Kee-Bong, i Jae Jong Lee. "Passive compliant wafer stage for single-step nano-imprint lithography". Review of Scientific Instruments 76, nr 7 (lipiec 2005): 075106. http://dx.doi.org/10.1063/1.1948401.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
47

Yang, Mei, Li-Hua Liu, Lu-Hui Ning, Yi-Rong Jin, Hui Deng, Jie Li, Yang Li i Dong-Ning Zheng. "Fabrication of superconducting NbN meander nanowires by nano-imprint lithography". Chinese Physics B 25, nr 1 (styczeń 2016): 017401. http://dx.doi.org/10.1088/1674-1056/25/1/017401.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
48

Han, Ting, Steve Madden, Douglas Bulla i Barry Luther-Davies. "Low loss Chalcogenide glass waveguides by thermal nano-imprint lithography". Optics Express 18, nr 18 (26.08.2010): 19286. http://dx.doi.org/10.1364/oe.18.019286.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
49

Takei, Satoshi. "Ultraviolet Nano Imprint Lithography Using Fluorinated Silicon-Based Resist Materials". Applied Physics Express 3, nr 2 (12.02.2010): 025203. http://dx.doi.org/10.1143/apex.3.025203.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
50

Li, Guijun, Qingchen Dong, Jianzhuo Xin, C. W. Leung, P. T. Lai, Wai-Yeung Wong i Philip W. T. Pong. "Patterning micro- and nano-structured FePt by direct imprint lithography". Microelectronic Engineering 110 (październik 2013): 192–97. http://dx.doi.org/10.1016/j.mee.2013.03.135.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
Oferujemy zniżki na wszystkie plany premium dla autorów, których prace zostały uwzględnione w tematycznych zestawieniach literatury. Skontaktuj się z nami, aby uzyskać unikalny kod promocyjny!

Do bibliografii