Gotowa bibliografia na temat „Nano imprint lithographie”

Utwórz poprawne odniesienie w stylach APA, MLA, Chicago, Harvard i wielu innych

Wybierz rodzaj źródła:

Zobacz listy aktualnych artykułów, książek, rozpraw, streszczeń i innych źródeł naukowych na temat „Nano imprint lithographie”.

Przycisk „Dodaj do bibliografii” jest dostępny obok każdej pracy w bibliografii. Użyj go – a my automatycznie utworzymy odniesienie bibliograficzne do wybranej pracy w stylu cytowania, którego potrzebujesz: APA, MLA, Harvard, Chicago, Vancouver itp.

Możesz również pobrać pełny tekst publikacji naukowej w formacie „.pdf” i przeczytać adnotację do pracy online, jeśli odpowiednie parametry są dostępne w metadanych.

Artykuły w czasopismach na temat "Nano imprint lithographie"

1

Yang, Ki Yeon, Sung Hoon Hong, Heon Lee i Jeong Woo Choi. "Fabrication of Nano-Sized Gold Dot Array Using Bi-Layer Nano Imprint Lithography". Materials Science Forum 510-511 (marzec 2006): 446–49. http://dx.doi.org/10.4028/www.scientific.net/msf.510-511.446.

Pełny tekst źródła
Streszczenie:
UV nano imprint lithography (UV NIL) that uses a monomer based UV curable monomer resin is proposed as a method of imprinting at low temperature and pressure. The fabrication of high fidelity patterns on a topographical substrate is a formidable challenge. To accomplish this, the use of bi-layer nano imprint lithography, which involves the use of an easily removable under-layer and an imprinted pattern, is proposed. We hypothesized that by etching the under layer by oxygen RIE, we might be able to build the bi-layer patterns for easy lift-off and fabricate nano-sized metal patterns through this lift-off process.
Style APA, Harvard, Vancouver, ISO itp.
2

Yan, Le, Lei Yin i Hong Zhong Liu. "Nanoimprint Lithography of Multistep Loading". Advanced Materials Research 383-390 (listopad 2011): 7214–19. http://dx.doi.org/10.4028/www.scientific.net/amr.383-390.7214.

Pełny tekst źródła
Streszczenie:
In this paper, a method of multistep imprint lithography process is described. Through comparing among the loading process factors, a multistep loading locus, which includes a pre-cure release of the pressing force, is proposed for the high-conformity transfer of nano-patterns from the template to the wafer. A series of imprint experiments show that the new multistep loading process can meet the needs for different pressing areas, feature sizes and repetitious imprints. This loading process can effectively reduce the residual resist thickness while maintaining a uniform residual resist and non-distorted transfer of nano-patterns to the resist-coated wafer. And a high-conformity of 100 nm feature can be achieved.
Style APA, Harvard, Vancouver, ISO itp.
3

Choi, Su Hyun, Do Hyeog Kim, Seonjun Kim, Woo Young Kim, Seok Kim i Young Tae Cho. "Tulip-Shaped Pattern Imprinting for Omni-Phobic Surfaces Using Partially Cured Photopolymer". Applied Sciences 11, nr 4 (16.02.2021): 1747. http://dx.doi.org/10.3390/app11041747.

Pełny tekst źródła
Streszczenie:
Functional films with hydrophobic, oleophobic, anti-fouling, anti-icing, anti-bacterial and low reflectance properties can be produced by patterning nano- or micro-structures on films via nano imprint lithography. Here, an omni-phobic surface showing both hydrophobicity and oleophobicity was obtained without chemical surface treatment by increasing the surface roughness and deforming the pattern morphology using only nano imprint lithography and the oxygen-inhibited curing properties of polyurethane acrylate (PUA) resin. A tulip-shaped pattern imprinting process was designed in which microscale patterns were fabricated using a porous polydimethylsiloxane (PDMS) mold with high oxygen transmission. During ultraviolet (UV) curing, a curing inhibiting layer was formed by reaction with oxygen. Next, a PDMS pad was used for the pressurized curing of the curing inhibition layer to modify the micro scale structures. Finally, final curing of the deformed pattern was performed using ultra high-power UV light. The deformation of the pattern into tulip-like shapes with increased surface roughness was confirmed by microscopy, and contact angle measurement was performed to confirm omni-phobicity. The final cured imprinted samples showed water and oil contact angles reaching 169.2° and 115°, respectively; thus, the omni-phobic surface could be demonstrated by a tulip-shaped pattern imprinting process.
Style APA, Harvard, Vancouver, ISO itp.
4

Ressier, L., E. Palleau i S. Behar. "Electrical nano-imprint lithography". Nanotechnology 23, nr 25 (31.05.2012): 255302. http://dx.doi.org/10.1088/0957-4484/23/25/255302.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
5

Lee, Heon, Ki Yeon Yang i Sung Hoon Hong. "Fabrication of 100nm Sized Patterns on Flexible Polyethylene-Terephthalate Substrate Using Monomer Based Thermal Curing Nanoimprint Lithography". Solid State Phenomena 121-123 (marzec 2007): 657–60. http://dx.doi.org/10.4028/www.scientific.net/ssp.121-123.657.

Pełny tekst źródła
Streszczenie:
In order to build a nano-device on polymer substrate, nano-size patterning must be done. However, conventional photolithography cannot be used to fabricate nano-sized patterns on polymer film due to the flexibility of polymer film and its potential interaction with developer solution and organic solvent. In this study, 100nm sized dense line and space patterns were made on flexible PET (polyethylene-terephthalate) substrate using newly developed monomer based imprinting lithography. Compared to hot embossing lithography, thermal curing imprint lithography uses monomer based imprint resin which consists of base monomer and thermal initiator. Since it is liquid phase and polymerization temperature is much lower than glass temperature of polymer, the nano-sized patterns can be transfer at much lower temperature and pressure. Hence, patterns as small as 100nm were successfully fabricated on flexible PET film substrate by monomer based thermal curing imprinting lithography at 85°C and 5atm.
Style APA, Harvard, Vancouver, ISO itp.
6

Löber, Dennis, Subhayan Dey, Burhan Kaban, Fabian Roesler, Martin Maurer, Hartmut Hillmer i Rudolf Pietschnig. "3D Micro/Nanopatterning of a Vinylferrocene Copolymer". Molecules 25, nr 10 (23.05.2020): 2438. http://dx.doi.org/10.3390/molecules25102438.

Pełny tekst źródła
Streszczenie:
In nanoimprint lithography (NIL), a pattern is created by mechanical deformation of an imprint resist via embossing with a stamp, where the adhesion behavior during the filling of the imprint stamp and its subsequent detachment may impose some practical challenges. Here we explored thermal and reverse NIL patterning of polyvinylferrocene and vinylferrocene-methyl methacrylate copolymers to prepare complex non-spherical objects and patterns. While neat polyvinylferrocene was found to be unsuitable for NIL, freshly-prepared vinylferrocene-methyl methacrylate copolymers, for which identity and purity were established, have been structured into 3D-micro/nano-patterns using NIL. The cross-, square-, and circle-shaped columnar structures form a 3 × 3 mm arrangement with periodicity of 3 µm, 1 µm, 542 nm, and 506 nm. According to our findings, vinylferrocene-methyl methacrylate copolymers can be imprinted without further additives in NIL processes, which opens the way for redox-responsive 3D-nano/micro-objects and patterns via NIL to be explored in the future.
Style APA, Harvard, Vancouver, ISO itp.
7

Crespo-Monteiro, Nicolas, Arnaud Valour, Victor Vallejo-Otero, Marie Traynar, Stéphanie Reynaud, Emilie Gamet i Yves Jourlin. "Versatile Zirconium Oxide (ZrO2) Sol-Gel Development for the Micro-Structuring of Various Substrates (Nature and Shape) by Optical and Nano-Imprint Lithography". Materials 15, nr 16 (15.08.2022): 5596. http://dx.doi.org/10.3390/ma15165596.

Pełny tekst źródła
Streszczenie:
Zirconium oxide (ZrO2) is a well-studied and promising material due to its remarkable chemical and physical properties. It is used, for example, in coatings for corrosion protection layer, wear and oxidation, in optical applications (mirror, filters), for decorative components, for anti-counterfeiting solutions and for medical applications. ZrO2 can be obtained as a thin film using different deposition methods such as physical vapor deposition (PVD) or chemical vapor deposition (CVD). These techniques are mastered but they do not allow easy micro-nanostructuring of these coatings due to the intrinsic properties (high melting point, mechanical and chemical resistance). An alternative approach described in this paper is the sol-gel method, which allows direct micro-nanostructuring of the ZrO2 layers without physical or chemical etching processes, using optical or nano-imprint lithography. In this paper, the authors present a complete and suitable ZrO2 sol-gel method allowing to achieve complex micro-nanostructures by optical or nano-imprint lithography on substrates of different nature and shape (especially non-planar and foil-based substrates). The synthesis of the ZrO2 sol-gel is presented as well as the micro-nanostructuring process by masking, colloidal lithography and nano-imprint lithography on glass and plastic substrates as well as on plane and curved substrates.
Style APA, Harvard, Vancouver, ISO itp.
8

Hirai, Yoshihiko, i Yoshio Tanaka. "Application of Nano-imprint Lithography." Journal of Photopolymer Science and Technology 15, nr 3 (2002): 475–80. http://dx.doi.org/10.2494/photopolymer.15.475.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
9

Bottein, Thomas, Olivier Dalstein, Magali Putero, Andrea Cattoni, Marco Faustini, Marco Abbarchi i David Grosso. "Environment-controlled sol–gel soft-NIL processing for optimized titania, alumina, silica and yttria-zirconia imprinting at sub-micron dimensions". Nanoscale 10, nr 3 (2018): 1420–31. http://dx.doi.org/10.1039/c7nr07491c.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
10

Kim, Hyong-Jun, Wanseo Kim i Hwanhee Cho. "Lambertian Extraction of Light from Organic Light-Emitting Devices Using Randomly Dispersed Sub-Wavelength Pillar Arrays". Journal of Nanoscience and Nanotechnology 21, nr 7 (1.07.2021): 3909–13. http://dx.doi.org/10.1166/jnn.2021.19229.

Pełny tekst źródła
Streszczenie:
A simple light extraction method is reported to minimize the variation in emission spectrum with viewing angle by embedding a light extraction layer, consists of randomly dispersed nano-pillars on a substrate. Nano-imprint lithography technique was employed to fabricate the nano-pillars using ultra-violet curable acrylate. An organic light emitting diode device employing the imprint technology showed 46% enhancement in light extraction efficiency in the forward direction with Lambertian emission pattern and no color change with viewing angle. Moreover, the emitted light does not show any specific periodic patterns.
Style APA, Harvard, Vancouver, ISO itp.

Rozprawy doktorskie na temat "Nano imprint lithographie"

1

MALAQUIN, Laurent. "Dispositifs ultra-sensibles pour le nano-adressage electrique. Application a la detection de biomolecules". Phd thesis, Université Paul Sabatier - Toulouse III, 2004. http://tel.archives-ouvertes.fr/tel-00009243.

Pełny tekst źródła
Streszczenie:
" Because technology provides the tools and biology the problems, the two should enjoy a happy marriage ! "1 . Cette phrase resume parfaitement l'esprit du projet qui a motive ces travaux de these. En effet, le couplage des biotechnologies et des micro et nano technologies, resume sous le vocable < Nanobiotechnologies > est une activite en plein essor qui laisse presager de nombreuses applications en particulier dans le domaine de la biodetection. Lobjectif principal de ces travaux est dedie au developpement de strategies d'adressage de biomolecules a l'echelle nanometrique pour des applications de biodetection. Le premier aspect de ce travail est d'ordre technologique. Il concerne la fabrication de dispositifs d'adressage bases sur des reseaux de nanoelectrodes planaires. En utilisant un procede reposant sur lutilisation de la lithographie electronique haute resolution sur un microscope TEM/STEM, nous avons pu demontrer la fabrication de dispositifs a base de nanoelectrodes presentant des espaces inter-electrodes controlables entre 100 et 15nm. Une technique de lithographie alternative, la Nano-Impression est egalement presentee comme une solution possible a la replication de nanodispositifs fabriques par lithographie electronique. La deuxieme partie des travaux est dediee a la mise en place dun schema de detection de nanoparticules que nous avons developpe autour de dispositifs bases sur des reseaux delectrodes inter-digitees. Avant de nous interesser a l'utilisation de ces dispositifs pour une application biologique, nous avons etudie leur reponse electrique vis-a-vis de l'absorption de nanoparticules d'Or par interaction electrostatique. Les premiers resultats obtenus montrent que le schema de detection permet d'atteindre un niveau de sensibilite ultime au travers d'une mesure directe de la conductance des dispositifs. Certaines experiences montrent en effet la possibilite de mesurer electriquement l'adsorption d'une seule nanoparticule. Enfin, la derniere partie de ces travaux est dediee a l'adaptation de ce protocole pour la detection de biomolecules fonctionnalisees par des nanoparticules d'Or. Pour cela, nous avons employe une approche simple basee sur un systeme de reconnaissance entre une molecule cible et une molecule sonde. Ce schema a ete applique a la detection d'interaction antigene/anticorps et nous a permis de transcrire la selectivite de la reconnaissance entre les anticorps dans le depot des nanoparticules qui se traduit par une modification importante de la conductance du dispositif. Les possibilites d'integration ainsi que la compatibilite des dispositifs avec des systemes de microfluidique rendent ce schema de detection particulierement adapte pour le developpement d'un systeme integre de biodetection a tres haute sensibilite. 1 S. Fields, Proc. Natl. Acad. Sci. USA, vol 98, pp 10051-10054 (2001)
Style APA, Harvard, Vancouver, ISO itp.
2

Rajarathinam, Venmathy. "Imprint lithography and characterization of photosensitive polymers for advanced microelectronics packaging". Diss., Georgia Institute of Technology, 2010. http://hdl.handle.net/1853/34722.

Pełny tekst źródła
Streszczenie:
To enable fast and reliable processors, advances must be made in the interconnections on the printed circuit board and in the interconnections from the chip to the printed circuit board. Processing techniques have been demonstrated to fabricate a copper-clad encapsulated air dielectric layer to enable low loss off-chip electrical signal lines using sacrificial polymers and the three dimensional patterning capabilities of imprint lithography. The inclusion of an air gap can eliminate the dielectric loss allowing the signal to propagate over longer lengths. Additionally, the low dielectric constant of air lowers the loss contributions from the conductor and increases the signal propagation velocity reducing delay. The metal shielding could minimize the crosstalk noise and radiation losses that are significant at high frequencies. The three dimensional patterning capabilities of imprint lithography fabricated curved structures and rounded terminations which can reduce reflections at discontinuities. Furthermore, imprint lithography also created planarized surfaces which simplified the buildup process. Since imprint lithography, only uses temperature and pressure to make a pattern it is an inexpensive and simple process advancement. The metal-clad encapsulated air dielectric structures were fabricated in a comparable number of registration steps to traditional transmission lines. Implementation of all copper chip to substrate interconnects would provide high conductivity electrical connections, resistance to electromigration while avoiding formation of brittle intermetallics. High aspect ratio polymer molds for copper electroplating interconnects could enable improved integrated circuit electrical performance. The properties of a new aqueous base develop, negative-tone photosensitive polynorbornene polymer have been characterized to develop mechanically compliant all copper connections between the chip and printed circuit board. High aspect ratio features of 7:1 (height:width) were produced in 70 ìm thick films in a single coat with straight side-wall profiles and high fidelity. The polymer films studied had a contrast of 11.6 and a low absorption coefficient. To evaluate the polymer's suitability to microelectronics applications, epoxy cross-linking reactions were studied as a function of processing condition through Fourier transform infrared spectroscopy, nano-indentation, and dielectric measurements. The fully cross-linked films had an elastic modulus of 2.9 GPa and hardness of 0.18 GPa which can improve the mechanical compliance of the copper interconnections. A photo-imprint lithography process was developed to improve the photo-patterning of the polynorbornene polymer for high aspect ratio hollow structures. A shallow photo-imprint stamp was developed to physically displace material in the polymer core. Since the imprint stamp displaces material in the area of the feature, the effective film thickness is reduced compared to the bulk film. The reduction in film height reduced the effects of scattering in the core and also facilitated transport of developer within the core. The photo-imprint lithography process resulted in high aspect ratio hollow core pillars that exceeded optical resolution capabilities for comparable feature sizes.
Style APA, Harvard, Vancouver, ISO itp.
3

Kim, Jung Wuk [Verfasser]. "Application oriented nano-patterning methods based on the liquid transfer imprint lithography / Jung Wuk Kim". München : Verlag Dr. Hut, 2015. http://d-nb.info/1070123978/34.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
4

Krishnaswamy, Arvind. "Substrate Engineering to Control the Synthesis of Carbon Nanotubes". University of Cincinnati / OhioLINK, 2014. http://rave.ohiolink.edu/etdc/view?acc_num=ucin1413471369.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
5

Hsu, Shu-han, i 許淑涵. "Development of plastic molds and imprinted materials for micro/nano imprint lithography". Thesis, 2009. http://ndltd.ncl.edu.tw/handle/39720775600011730199.

Pełny tekst źródła
Streszczenie:
碩士
國立高雄大學
化學工程及材料工程學系碩士班
97
The study focusing on the development of nano/micro imprinting techniques and their applications included three major parts: fabrication of imprinted molds, development of alternative imprinting process, and design of imprintable materials. Two imprinting molds were fabricated: one was plastic hybrid mold and the other was ordered porous mold. In the building of plastic hybrid mold, a design using the protruded area with a thin layer of metal to mask the UV light to prepare a residual layer-free pattern. Three materials were tested as the masking layers: carbon black, electroless plated silver, and deposited gold. As limited in the size of carbon black, the plastic hybrid mold with more than 20 um lines was successfully fabricated. Another silver deposition on the protruded area was achieved by immobilizing a layer of tin salt as a reduction coating. However, the tin layer did not homogeneously and selectively bind to the protruded area of plastic molds, giving a failure deposition on the molds. The direct metal transfer techniques was conducted by using different adhesion work for those contact interfaces to stick and remove the protruded gold layer (negative type) or leave the protruded gold layer (negative type). The metal layer transfer was achieved for a 170 nm featured pattern in a 1.5 cm × 1.5 cm area, while the gold particle transfer technique was able to transfer few hundreds nanometer featured pattern in area of 4 cm diameter. Sintering process at a low temperature (150℃) was performed to stable the layered gold nanoparticles on the protruded area. However, heterogeneous distribution of particles was found after 2 hr of sintering. On the other hand, an ordered, self-assembly of composite film was prepared as a nanoporous mold. We synthesized poly(St-BA-AA) emulsion to blend with silica sol prior to coat and dry the composite, giving a large-areaed polymer film with ordered nanopore arrays with 340 nm of pore diameter, 400 nm of spacing, and 2.2 nm of depth. As for the development of imprinting process, we designed a novel water-based imprint process and its application to imprint an organic/inorganic composite. The process is available for imprinting variety of polymers which can be dispersed or emulsified in water, without using organic solvent, high temperature, heavy pressure. A recipe was tested as a water-based Epoxy prepolymer blended with base-catalyzed tetraethoxysilane precursor, giving a transparent 800nm featured pattern. Another test was conducted to fabricate a nonresidual layered pattern, owing to low viscosity of water-based imprintable recipe. In the design of imprintable materials, a novel UV-curable resist was explored for its two staged irradiation and curing. Using the UV resist, the hierarchical structure was able to build up and its depth was increased to ~523.6 nm without collapse the first micron featured pattern.
Style APA, Harvard, Vancouver, ISO itp.
6

Yi, Wu Chun, i 吳俊億. "Development of Nano Electroplate Imprint Lithography". Thesis, 2006. http://ndltd.ncl.edu.tw/handle/56711018985957536420.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
7

V, Anil Kumar T., i Anil Kumar T. V. "Nano Imprint Lithography and GaN GAA Nanowire". Thesis, 2014. http://ndltd.ncl.edu.tw/handle/xqm526.

Pełny tekst źródła
Streszczenie:
碩士
亞洲大學
資訊工程學系
102
Nanoimprint Lithography: Si master molds are generally patterned by electron-beam lithography (EBL) that is known to be a time consuming nano patterning technique. Thus, developing mold duplication process based on high throughput technique such as nanoimprint lithography can be helpful in reducing its fabrication time and cost. This study aims to develop capabilities in patterning nano structure using thermal nano-imprint lithography. The NEB22 A2, mr- I7000E series negative e-beam resist possess a variety of characteristics desirable for NIL, such as low viscosity, low bulk-volumetric shrinkage, high Young's modulus, high thermal stability, and excellent dry-etch resistance. The excellent oxygen-etch resistance of the barrier material enables a final transfer pattern that is about three times higher than that of the original NIL mold. Based on these imprint on negative photo resist approach is used for pattern transfer into silicon substrates. The result is a high-resolution pattern with feature sizes in the range of nanometer to several microns. We combine Simprint Core simulation software for simulating nanoimprint process and to achieve uniform RLT. Our research results in low RLT as 10-20nm thicknesses for mr-I 7020E photoresist. The simulation results and experimental results are matching. A plot of how RLT across the whole stamp region changes with imprinting duration is shown using simulation. The central, thick line shows the average RLT across the entire stamp; the thin lines indicate the stamp-average RLT plus and minus one standard deviation of the cross-stamp RLT values. Simulated and calibrated for uniform residual layer thickness (RLT) and the cross-sections of RLT are plotted. In cavity filling value of 0 denotes completely empty cavities; a value of 1 in a particular location means that cavities are completely filled in that region. We have achieved completely filled cavities, i.e., value of 1 at all locations. We have achieved RLT around 10nm and even RLT at all location in pattern using mr-I 7020E photoresist imprint. GaN GAA Nanowire: To increase typically low output drive currents from Si Nanowire field-effect transistors (FETs), we show a GaN based GAA Nanowire FET’s effectiveness. The theoretical study is focused on the three dimensional device designs, comparisons, random dopant fluctuation using IFM, and general variability issues including nanowire length, gate work function, and channel thickness are discussed. Performance of GaN GAA Nanowire is found to be increasing as Gate length is increased. Electrical characteristics of FETs including threshold voltage saturation, On/Off current ratio and sub threshold slope (SS) are analysed. GaN GAA structure let to gate control ability improvement compared to Si based Nanowire in electrical performance. The GaN GAA Nanowire subthreshold slope is ~62mV/decade, which is close to the theoretical limit 60 mV/decade and leads to very high Ion/Ioff ratio of 1010-1011. The GaN GAA Nanowire is a very promising candidate for high-performance.
Style APA, Harvard, Vancouver, ISO itp.
8

Yin, Bailey Anderson. "Dual field nano precision overlay". Thesis, 2010. http://hdl.handle.net/2152/ETD-UT-2010-08-1952.

Pełny tekst źródła
Streszczenie:
Currently, the imprint lithography steppers are designed to only pattern one field of 26 x 33 mm at a time. This choice is based on the desire to mix-and-match to the standard optical lithography tools whose field size is also 26 x 33 mm. Throughput can be increased if more than one field can be imprinted simultaneously. The problem with adding a field to the imprinting template is that each field has overlay errors associated with it that are created when the template is manufactured and when the corresponding prior field is manufactured on the wafer. The current process is able to correct these template and wafer overlay errors using a precision stage and actuators that elastically deform the template. The same method cannot be used when there are two fields because the fields are not independent and interact with each other. Correcting the errors in one of the fields tend to increase the error in the second field. vii In this thesis, a new control method has been created to account for the dependent motion. A new template concept was also created to try to limit the interaction between the two fields. The new control algorithm was tested in simulation to see if it could correct the current 1-field setup as well as the new concept of having more than one field on a template. The control algorithm was also used to test applications where the overlay errors in only one direction need to be corrected. The control algorithm was tested on a solid single field template, the baseline case, and was able to achieve 1.3 nm overlay, which is consistent with the current method. The algorithm was then tested on the dual field concepts. The range of alignment errors needed to get 5 nm overlay are too tight for current manufacturing but the compliant concept did have more relaxed ranges than the solid dual field template. With more research, the compliant template concept might be changed to allow for wider ranges. The tests with correction in only one direction had promising data that should be investigated further.
text
Style APA, Harvard, Vancouver, ISO itp.
9

Huang, Tai-wei, i 黃泰瑋. "Two-Dimensional Photonic Crystal Devices Fabricatedby Nano-Imprint Lithography". Thesis, 2007. http://ndltd.ncl.edu.tw/handle/01484942222603627441.

Pełny tekst źródła
Streszczenie:
碩士
國立中央大學
電機工程研究所
95
In this study, photonic crystal wavelength division multiplexer (WDM) and polarization filter based on SOI substrate have been demonstrated. The WDM is used to separate the electromagnetic wave at the wavelength of 1.55μm and 1.31μm. The polarization filter can be applied to leach the optical signal of TM polarization in the range of wavelength 1.3μm ~2.1μm. We use the plane wave expansion method (PWE) to simulate the band-gap distribution of the periodical arrayed structure and used finite-differential time-domain (FDTD) method to study the steady state electromagnetic wave propagation simulation. The WDM was fabricated by e-beam lithography and polarization filter was processed by a novel technology “nano-imprint lithography” which has several extremely ascendant advantages: simple process, low production cost and high throughput efficiency. Waveguide measurement system was used in this experiment. The measurement result shows that the performance of the WDM and the polarization filter are both good. So it means that the design of the devices structure and devices process are successful in this study. And it is expectable that more and more nano-scale devices can be fabricated by E-beam lithography and NIL process by consulting the process parameters in this study.
Style APA, Harvard, Vancouver, ISO itp.
10

Wei-Hsuan, Hsu. "Increasing uniformity in nano-imprint lithography by designing mold structure". 2006. http://www.cetd.com.tw/ec/thesisdetail.aspx?etdun=U0016-1303200709321164.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.

Części książek na temat "Nano imprint lithographie"

1

Pfadler, Thomas, Claudia M. Palumbiny, Wojciech Pisula, Holger C. Hesse, Xinliang Feng, Klaus Müllen, Peter Müller-Buschbaum i Lukas Schmidt-Mende. "Controlled Morphologies by Molecular Design and Nano-Imprint Lithography". W Elementary Processes in Organic Photovoltaics, 215–42. Cham: Springer International Publishing, 2016. http://dx.doi.org/10.1007/978-3-319-28338-8_9.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
2

Son, Ji Won, Nam Ho Song, Sung Han Rhim i Soo Ik Oh. "Prediction of Defects in Nano-Imprint Lithography Using FEM Simulation". W The Mechanical Behavior of Materials X, 665–68. Stafa: Trans Tech Publications Ltd., 2007. http://dx.doi.org/10.4028/0-87849-440-5.665.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
3

Renn, Jyh Chyang, Yi An Yang i Cherng Shyong Chan. "Developing a Moving-Coil Actuator for Nano-Imprint Lithography System". W Materials Science Forum, 1027–32. Stafa: Trans Tech Publications Ltd., 2006. http://dx.doi.org/10.4028/0-87849-990-3.1027.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
4

Yang, Ki Yeon, Sung Hoon Hong, Heon Lee i Jeong Woo Choi. "Fabrication of Nano-Sized Gold Dot Array Using Bi-Layer Nano Imprint Lithography". W Materials Science Forum, 446–49. Stafa: Trans Tech Publications Ltd., 2006. http://dx.doi.org/10.4028/0-87849-995-4.446.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
5

Kim, Jung Yup, Jae Hyun Kim i Byung Ik Choi. "Mechanical Behavior Simulation of PMMA for Nano Imprint Lithography Using Molecular Dynamics". W The Mechanical Behavior of Materials X, 979–82. Stafa: Trans Tech Publications Ltd., 2007. http://dx.doi.org/10.4028/0-87849-440-5.979.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
6

Yang, Ki Yeon, Jong Woo Kim, Sung Hoon Hong i Heon Lee. "Patterning of the Self-Assembled Monolayer Using the Zero Residual Nano-Imprint Lithography". W Solid State Phenomena, 523–26. Stafa: Trans Tech Publications Ltd., 2007. http://dx.doi.org/10.4028/3-908451-31-0.523.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
7

Lee, Heon, Ki Yeon Yang, Sung Hoon Hong, C. D. Schaper i Gun Young Jung. "Nano-Imprint Lithography of 100nm Sized Patterns Using Water Soluble PVA, Poly(Vinyl Alcohol), Template". W Solid State Phenomena, 661–64. Stafa: Trans Tech Publications Ltd., 2007. http://dx.doi.org/10.4028/3-908451-30-2.661.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.

Streszczenia konferencji na temat "Nano imprint lithographie"

1

Choi, Jin, S. V. Sreenivasan i Doug Resnick. "UV Nano-Imprint Lithography for Manufacturing Applications". W ASME 2007 International Design Engineering Technical Conferences and Computers and Information in Engineering Conference. ASMEDC, 2007. http://dx.doi.org/10.1115/detc2007-35527.

Pełny tekst źródła
Streszczenie:
Researchers have demonstrated that imprint lithography techniques have remarkable replication resolution and can pattern sub-5nm structures. However, a fully capable lithography approach needs to address several challenges in order to be useful in nano-manufacturing applications. This paper presents the key technical challenges as well as the progress achieved to-date in these areas. A promising nanoimprint technique that has been previously discussed in the literature is a UV curing technique known as Step and Flash Imprint Lithography (S-FIL). In this article, a variant of the S-FIL process — known as drop-on-demand UV nano-imprint process — that addresses many of the key manufacturing challenges is discussed. This process has the ability to address challenges such as process repeatability in residual layer control, low defectivity, ability to fully automate the lithography process, nano-resolution alignment, and the ability to handle pattern density variations. All nano-imprint lithography techniques essentially replicate the patterns present in a master mold (or template). One of the demanding challenges is the creation of this template. Patterning, metrology, inspection, and defect repair issues relevant to template fabrication are discussed. Finally, with a brief discussion of near-term practical applications in the areas of photonics, magnetic storage, and CMOS devices is presented.
Style APA, Harvard, Vancouver, ISO itp.
2

Mendels, David A. "Multi-scale modelling of nano-imprint lithography". W SPIE 31st International Symposium on Advanced Lithography, redaktor Michael J. Lercel. SPIE, 2006. http://dx.doi.org/10.1117/12.656668.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
3

Chen, Cheng-Hung, Jia-Yush Yen, Lien-Sheng Chen i Shuo-Hung Chang. "Stitching Technology Using Hybrid Actuators in Nano Imprint". W ASME 2008 International Design Engineering Technical Conferences and Computers and Information in Engineering Conference. ASMEDC, 2008. http://dx.doi.org/10.1115/detc2008-49260.

Pełny tekst źródła
Streszczenie:
With advancements in nanotechnology and the continuing reduction of the minimum feature size in integrated-circuit technologies, there is a need for next-generation lithography (NGL) tools. The direct transfer of grating structures stitched from interference lithography to a mold for nano imprinting offers a low-cost alternative for printing sub-100nm features with great potential accuracy, high resolution, and reductivity. This research presents dual stage laser-interferometer equipment to meet these requirements. In moving forward to the stitching of a small interference area, the most important issue is alignment. If the period of this interference fringe can be guaranteed, the stage can be moved in chronological alignment with the period. This paper also presents SEM results of stitching of a 600nm periodic structure.
Style APA, Harvard, Vancouver, ISO itp.
4

Mok, Jinho, Sukwon Lee, JeHyoung Ryu, Hyuk Kim, Sin Kwon, Seong-Gu Baek, Jung-Woo Park i Jungwoo Seo. "Micro Pattern Filling Simulation to See the Effects of Process Parametric Variables on Imprinting". W ASME 2007 5th International Conference on Nanochannels, Microchannels, and Minichannels. ASMEDC, 2007. http://dx.doi.org/10.1115/icnmm2007-30014.

Pełny tekst źródła
Streszczenie:
The nano imprint lithography, a new approach to lithography, is a very useful alternative for the conventional photolithography process. To apply this new technology to the lithography process, new equipment, process parameters and post processes must be taken into consideration. If the defects during imprinting can be detected by optical measuring only after finishing the whole process, the engineers have no choice but analyze the sample of a final form to analogize the cause of defects. To set up the optimal process conditions, simulation is a very useful method in terms of not only the minimum number of trial and error but also the quantitative data based design. In this study, a few process parameters that affect the micro pattern forming in the nano imprint process are chosen and examined to present the quantitative data useful to set up the process conditions for the residual bubble free process.
Style APA, Harvard, Vancouver, ISO itp.
5

Houle, Frances A., Ann Fornof, Ratnam Sooriyakumaran, Hoa Truong, Dolores C. Miller, Martha I. Sanchez, Blake Davis i in. "Impact of curing kinetics and materials properties on imprint characteristics of resists for UV nano-imprint lithography". W Advanced Lithography, redaktor Qinghuang Lin. SPIE, 2007. http://dx.doi.org/10.1117/12.712298.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
6

Lodewijks, Kristof, Bharathkumar Mareddi, Rongchen Qin, Anabel De Proft, Bruno Figeys, Ugo Stella, Myriam Willegems i in. "Multispectral color filters based on self-aligned dual plasmonic gratings fabricated by nano-imprint lithography". W Integrated Photonics Research, Silicon and Nanophotonics. Washington, D.C.: Optica Publishing Group, 2022. http://dx.doi.org/10.1364/iprsn.2022.iw4b.2.

Pełny tekst źródła
Streszczenie:
Multispectral plasmonic color filters were fabricated using nano-imprint lithography and benchmarked to similar filters fabricated with standard CMOS processing. The self-aligned process yields devices with narrow linewidths below 30nm and up to 50% transmission efficiency.
Style APA, Harvard, Vancouver, ISO itp.
7

Yoshida, Kouji, Kouichirou Kojima, Makoto Abe, Shiho Sasaki, Masaaki Kurihara, Hiroshi Mohri i Naoya Hayashi. "Metrology for templates of UV nano imprint lithography". W 27th Annual BACUS Symposium on Photomask Technology, redaktorzy Robert J. Naber i Hiroichi Kawahira. SPIE, 2007. http://dx.doi.org/10.1117/12.746802.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
8

Hudek, Peter, Dirk Beyer, Timothy R. Groves, Olaf K. Fortagne, William J. Dauksher, David P. Mancini, Kevin J. Nordquist i Douglas J. Resnick. "Shaped beam technology for nano-imprint mask lithography". W 20th European Conference on Mask Technology for Integrated Circuits and Microcomponents. SPIE, 2004. http://dx.doi.org/10.1117/12.568035.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
9

Ina, Hideki, Kazuyuki Kasumi, Eigo Kawakami i Kouji Uda. "Critical issues study of nano-imprint tool for semiconductor volume production". W Advanced Lithography, redaktor Michael J. Lercel. SPIE, 2007. http://dx.doi.org/10.1117/12.710443.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
10

Glangchai, Luz Cristal S., Li Shi i Krishnendu Roy. "Nano-Imprint Fabrication of Injectable, Stimuli-Responsive Drug Delivery Vehicles". W ASME 4th Integrated Nanosystems Conference. ASMEDC, 2005. http://dx.doi.org/10.1115/nano2005-87069.

Pełny tekst źródła
Streszczenie:
Nanofabrication techniques are developed to create well-defined and characterized drug delivery devices that overcome the limitations of current methods. Our approach is to use novel, top-down nano-fabrication technologies, including thermal nano-imprinting and step-and flash imprint lithography (S-FIL), coupled with stimuli-responsive polymer membranes to develop injectable nanocontainers that can release drug only in response to specific physiological signals at specific cellular locations.
Style APA, Harvard, Vancouver, ISO itp.

Raporty organizacyjne na temat "Nano imprint lithographie"

1

Burckel, David Bruce, Geoffrey L. Brennecka, Chu-Yeu Peter Yang, Elaine L. Yang i Charles A. Steinhaus. Integration of block-copolymer with nano-imprint lithography : pushing the boundaries of emerging nano-patterning technology. Office of Scientific and Technical Information (OSTI), październik 2012. http://dx.doi.org/10.2172/1055650.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
Oferujemy zniżki na wszystkie plany premium dla autorów, których prace zostały uwzględnione w tematycznych zestawieniach literatury. Skontaktuj się z nami, aby uzyskać unikalny kod promocyjny!

Do bibliografii