Gotowa bibliografia na temat „FIB”

Utwórz poprawne odniesienie w stylach APA, MLA, Chicago, Harvard i wielu innych

Wybierz rodzaj źródła:

Zobacz listy aktualnych artykułów, książek, rozpraw, streszczeń i innych źródeł naukowych na temat „FIB”.

Przycisk „Dodaj do bibliografii” jest dostępny obok każdej pracy w bibliografii. Użyj go – a my automatycznie utworzymy odniesienie bibliograficzne do wybranej pracy w stylu cytowania, którego potrzebujesz: APA, MLA, Harvard, Chicago, Vancouver itp.

Możesz również pobrać pełny tekst publikacji naukowej w formacie „.pdf” i przeczytać adnotację do pracy online, jeśli odpowiednie parametry są dostępne w metadanych.

Artykuły w czasopismach na temat "FIB"

1

Liu, Ta-Wei, Chung-Feng Huang, Ming-Lun Yeh, Pei-Chien Tsai, Tyng-Yuan Jang, Jee-Fu Huang, Chia-Yen Dai, Wan-Long Chuang i Ming-Lung Yu. "Less liver fibrosis marker increment in overweight chronic hepatitis B patients observed by age-adjusted Fibrosis-4 Index". BMJ Open Gastroenterology 7, nr 1 (grudzień 2020): e000543. http://dx.doi.org/10.1136/bmjgast-2020-000543.

Pełny tekst źródła
Streszczenie:
Background and aimsChronic hepatitis B patients in Taiwan with no or limited liver injury are not reimbursed for antiviral treatment by the Taiwan National Health Insurance (NHI). Innovative fibrosis marker, age-adjusted Fibrosis-4 Index (FIB4-AA), was implemented to evaluate the tendency of liver fibrosis in these patients.MethodsThe FIB-4 indices of 256 antiviral treatment-naïve chronic hepatitis B patients at Kaohsiung Medical University Hospital from 2003 to 2019 were reviewed. The difference in initial FIB-4 and last FIB4-AA was treated as a categorical variable, representing the tendency of liver fibrosis in each individual aside from ageing. Logistic regression was implemented to evaluate the three parameters most dependent on increment of FIB4-AA: e seroconversion, body mass index (BMI) and initial FIB-4 index.ResultsThe yearly FIB-4 growth rate of an individual without chronic hepatitis was lower than that of the study group (0.0237 vs 0.0273 for males, 0.02 vs 0.0288 for females). Patients undergoing or completing e seroconversion were less prone to increment of FIB4-AA (p=0.036, OR 0.524). Logistic regression revealed that BMI ≥25 kg/m2 significantly less increment of FIB4-AA (p=0.001, OR 0.383, 95% CI 0.212 to 0.690), while patients with initial FIB-4 <1.29 were prone to increasing liver FIB4-AA (p=0.000, OR 3.687, 95% CI 1.999 to 6.797).ConclusionChronic hepatitis B patients not meeting the reimbursement criteria of the Taiwan NHI are prone to increment of liver fibrosis marker. Overweight is associated with less increment of fibrosis marker, while initial FIB-4 <1.29 is associated with increasing fibrosis marker.
Style APA, Harvard, Vancouver, ISO itp.
2

Haub, Michael, Thomas Guenther, Martin Bogner i André Zimmermann. "Use of PtC Nanotips for Low-Voltage Quantum Tunneling Applications". Micromachines 13, nr 7 (28.06.2022): 1019. http://dx.doi.org/10.3390/mi13071019.

Pełny tekst źródła
Streszczenie:
The use of focused ion and focused electron beam (FIB/FEB) technology permits the fabrication of micro- and nanometer scale geometries. Therefore, FIB/FEB technology is a favorable technique for preparing TEM lamellae, nanocontacts, or nanowires and repairing electronic circuits. This work investigates FIB/FEB technology as a tool for nanotip fabrication and quantum mechanical tunneling applications at a low tunneling voltage. Using a gas injection system (GIS), the Ga-FIB and FEB technology allows both additive and subtractive fabrication of arbitrary structures. Using energy dispersive X-ray spectroscopy (EDX), resistance measurement (RM), and scanning tunneling microscope (STM)/spectroscopy (STS) methods, the tunneling suitability of the utilized metal–organic material–platinum carbon (PtC) is investigated. Thus, to create electrode tips with radii down to 15 nm, a stable and reproducible process has to be developed. The metal–organic microstructure analysis shows suitable FIB parameters for the tunneling effect at high aperture currents (260 pA, 30 kV). These are required to ensure the suitability of the electrodes for the tunneling effect by an increased platinum content (EDX), a low resistivity (RM), and a small band gap (STM). The STM application allows the imaging of highly oriented pyrolytic graphite (HOPG) layers and demonstrates the tunneling suitability of PtC electrodes based on high FIB aperture currents and a low tunneling voltage.
Style APA, Harvard, Vancouver, ISO itp.
3

Kamada, Yoshihiro, Kensuke Munekage, Takashi Nakahara, Hideki Fujii, Yoshiyuki Sawai, Yoshinori Doi, Hideyuki Hyogo i in. "The FIB-4 Index Predicts the Development of Liver-Related Events, Extrahepatic Cancers, and Coronary Vascular Disease in Patients with NAFLD". Nutrients 15, nr 1 (23.12.2022): 66. http://dx.doi.org/10.3390/nu15010066.

Pełny tekst źródła
Streszczenie:
The prognosis of nonalcoholic fatty liver disease (NAFLD) patients depends on liver-related events (LREs), extrahepatic cancers, and major adverse cardiovascular events (MACEs). The fibrosis-4 (FIB-4) index is one of the most reliable and useful predictors of the degree of liver fibrosis. Recent studies have reported that the FIB-4 index is also useful for predicting LREs and MACEs in NAFLD patients. In the present study, we investigated the prognostic value of the FIB-4 index in NAFLD patients. A total of 506 biopsy-confirmed NAFLD patients from six hepatology centers in Japan from 2002 to 2013 were enrolled in this study. Of these NAFLD patients, 353 were available for more than 100 days of follow-up and did not exhibit events (LREs, extrahepatic cancers, MACEs) at the time of entry. The mean follow-up duration of all the subjects was 2716 ± 1621 days (102–7483 days). New LREs (hepatocellular carcinoma (HCC) (n = 8), decompensation (n = 11), bleeding varices (n = 8)) developed in 18 patients. Twenty-four and twelve patients developed extrahepatic cancers and MACEs, respectively. The median FIB-4 index was 1.255; we divided our cohort into two groups according to this (FIB4 Low, FIB4 Hi). The incidence of HCC tended to be higher in FIB4 Hi (n = 7) than in FIB4 Low (n = 1). The incidence of LREs was significantly higher in FIB4 Hi (n = 17) than in FIB4 Low (n = 1). The incidence of extrahepatic cancers was significantly higher in FIB4 Hi (n = 20) than in FIB4 Low (n = 4); the incidence of MACEs was also significantly higher in FIB4 Hi (n = 10) than in FIB4 Low (n = 2). The FIB-4 index is a useful biomarker for predicting not only LREs but also extrahepatic cancers and MACEs.
Style APA, Harvard, Vancouver, ISO itp.
4

Hu, Fupin, Jessica A. O'Hara, Jesabel I. Rivera i Yohei Doi. "Molecular Features of Community-Associated Extended-Spectrum-β-Lactamase-Producing Escherichia coli Strains in the United States". Antimicrobial Agents and Chemotherapy 58, nr 11 (18.08.2014): 6953–57. http://dx.doi.org/10.1128/aac.03321-14.

Pełny tekst źródła
Streszczenie:
ABSTRACTWe characterized 30 community-associated extended-spectrum-β-lactamase-producingEscherichia coliisolates collected from five hospitals in the United States. Nineteen sequence types were identified. All sequence type 131 (ST131) isolates had thefimH30 allele. IncFII-FIA-FIB was the most common replicon type among theblaCTX-M-carrying plasmids, followed by IncFII-FIA and IncA/C. Restriction analysis of the IncFII-FIA-FIB and IncFII-FIA plasmids yielded related profiles for plasmids originating from different hospitals. The plasmids containingblaCTX-MorblaSHVwere stably maintained after serial passages.
Style APA, Harvard, Vancouver, ISO itp.
5

Haub, Michael, Thomas Günther, Martin Bogner i André Zimmermann. "Investigation of Focused Ion and Electron Beam Platinum Carbon Nano-Tips with Transmission Electron Microscopy for Quantum Tunneling Vacuum Gap Applications". Applied Sciences 11, nr 24 (11.12.2021): 11793. http://dx.doi.org/10.3390/app112411793.

Pełny tekst źródła
Streszczenie:
To realize quantum tunneling applications with movable electrodes, sharp tips with radii down to several tens of nanometers are necessary. The use of a focused ion beam (FIB) and focused electron beam (FEB) with a gas injection system (GIS) allows the integration of geometries in the nanoscale directly into micro and nano systems. However, the implementation of the tunneling effect clearly depends on the material. In this work, a metal-organic precursor is used. The investigation of the prepared tunneling electrodes enables an insight into FIB/FEB parameters for the realization of quantum tunneling applications. For this purpose, a high-resolution transmission electron microscopy (HRTEM) analysis is performed. The results show a dependence of the material nanostructure regarding platinum (Pt) grain size and distribution in an amorphous carbon matrix from the used beam and the FIB currents. The integration of the tips into a polysilicon (PolySi) beam and measuring the current signal by approaching the tips show significant differences in the results. Moreover, the approach of FEB tips shows a non-contact behavior even when the tips are squeezed together. The contact behavior depends on the grain size, proportion of platinum, and the amount of amorphous carbon in the microstructure, especially at the edge area of the tips. This study shows significant differences in the nanostructure between FIB and FEB tips, particularly for the FIB tips: The higher the ion current, the greater the platinum content, the finer the grain size, and the higher the probability of a tunneling current by approaching the tips.
Style APA, Harvard, Vancouver, ISO itp.
6

Tashima, Janet, i Jay Lindquist. "Combining Focused Ion Beam and Scanning Electron Microscopy for IC Fab Support and Defect Review". Microscopy Today 4, nr 3 (kwiecień 1996): 18–19. http://dx.doi.org/10.1017/s1551929500067961.

Pełny tekst źródła
Streszczenie:
The cutting-edge tool for IC fab support and defect review brings together the Focused Ion Beam (FIB) technology with the Scanning Electron Microscope (SEM) into a single workstation. The twin beam FIB/SEM workstation, FEI Company's DualBeam™ 820 for example, combines the unique micromachining, microdeposition, and analysis capabilities of a FIB with the high resolution imaging power of a Schottky field emission scanning electron microscope (FE SEM). The full functionality of both instruments is available and neither is compromised by the other.
Style APA, Harvard, Vancouver, ISO itp.
7

Donoso N., Tania, i María Isabel Villegas T. "Percepción materna del ajuste socioemocional de sus hijos preescolares: Estudio descriptivo y comparativo de familias separadas e intactas con alto y bajo nivel de ajuste marital". Revista de Psicología 9, nr 1 (1.01.2000): 29. http://dx.doi.org/10.5354/0719-0581.2000.18544.

Pełny tekst źródła
Streszczenie:
Se estudió la percepción que tienen las madres del ajuste emocional y conductual de sus hijos preescolares en 77 familias con escala IPCS: a) 21 separadas viviendo en período crítico post-separación parental (FS), b) 45 intactas con alto nivel de ajuste marital (FIa), y c) 11 intactas con un bajo nivel de ajuste marital (FIb). Se encontraron diferencias significativas entre los niños de FS y FIa en ajuste socioemocional y conductual global, agresividad, retraimiento, inmadurez, comportamiento extraño, ansiedad e imagen disminuida. No se encontraron diferencias significativas en ninguna de las variables estudiadas entre los niños de familias FS y FIb, ni entre FIb y FIa. Se discute el impacto de la separación de los padres en el desarrollo socioemocional de niños preescolares en relación con desarrollo socioemocional de niños que viven en una familia cuyos padres tiene un buen ajuste marital o en familias que presentan conflicto marital.
Style APA, Harvard, Vancouver, ISO itp.
8

Steinbaum, Ellen. "A Fib". JAMA 325, nr 11 (16.03.2021): 1114. http://dx.doi.org/10.1001/jama.2020.25268.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
9

Córdoba, Rosa. "Editorial for the Special Issue on Nanofabrication with Focused Electron/Ion Beam Induced Processing". Micromachines 12, nr 8 (28.07.2021): 893. http://dx.doi.org/10.3390/mi12080893.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
10

Phaneuf, Michael W., i Jian Li. "FIB Techniques for Analysis of Metallurgical Specimens". Microscopy and Microanalysis 6, S2 (sierpień 2000): 524–25. http://dx.doi.org/10.1017/s143192760003511x.

Pełny tekst źródła
Streszczenie:
Focused ion beam (FIB) microscopes, the use of which is well established in the semiconductor industry, are rapidly gaining attention in the field of materials science, both as a tool for producing site specific, parallel sided TEM specimens and as a stand alone specimen preparation and imaging tool.Both FIB secondary ion images (FIB SII) and FIB secondary electron images (FIB SEI) contain novel crystallographic and chemical information. The ability to see “orientation contrast” in FIB SEI and to a lesser extent SII is well known for cubic materials and more recently stress-free FIB sectioning combined with FIB imaging have been shown to reveal evidence of plastic deformation in metallic specimens. Particularly in hexagonal metals, FIB orientation contrast is sometimes reduced or eliminated by the FIB sectioning process. We have successfully employed FIB gas assisted etching during FIB sectioning using XeF2 for zirconium alloys and Cl2 for zinc coatings on steels to retain orientation contrast during subsequent imaging.
Style APA, Harvard, Vancouver, ISO itp.

Rozprawy doktorskie na temat "FIB"

1

Ostřížek, Petr. "Elektrotransportní vlastnosti nanostruktur připravených metodou FIB". Master's thesis, Vysoké učení technické v Brně. Fakulta strojního inženýrství, 2011. http://www.nusl.cz/ntk/nusl-229474.

Pełny tekst źródła
Streszczenie:
The aim of this work is fabrication of nanostructures and measurement of their electrotransport properties. There are two different methods used for fabrication - electron beam lithography with sputtering of thin films and focused ion beam with deposition from gas phase. I-V characteristic was measured for characterisation of as prepared nanostructures - wires. Material of wires prepared by using of electron beam lithography was permalloy - an alloy of iron and nickel. Second types of wires prepared by using of chemical vapor deposition induced by focused ion beam was platinum based.
Style APA, Harvard, Vancouver, ISO itp.
2

Mucke, S. "Herstellung von Nanometer-Strukturen mittels feinfokussiertem Ionenstrahl (FIB)". Forschungszentrum Dresden, 2010. http://nbn-resolving.de/urn:nbn:de:bsz:d120-qucosa-28940.

Pełny tekst źródła
Streszczenie:
Feinfokussierte Ionenstrahlen dienen in den Gebieten der Halbleiterindustrie und Materialforschung der Mikro- und Nanostrukturierung. Die vorliegende Arbeit beschäftigt sich mit den beiden Hauptanwendungen von fokussierten Ionenstrahlen, dem Materialabtrag und der ionenstrahlinduzierten Materialabscheidung. Dabei wird die hochauflösende Ionensäule CANION 31Z der Firma Orsay Physics mit Stromdichten von bis zu 10 A/cm2 und mit integriertem Gassystem eingesetzt. Es wird ausführlich auf Anwendungsbeispiele von Fokussierten Ionenstrahlsystemen im Bereich der Industrie und Forschung eingegangen. Schwerpunktmäßig wird die Abscheidung von Wolfram aus dem Precursorgas W(CO)6 (Wolframhexacarbonyl) auf Si und SiO2 als Substrat untersucht, mit dem Ziel, gut leitfähige Drähte (hier im Sinne von Leiterbahnen) mit minimalem Querschnitt herzustellen. Die Optimierung der Ionenstrahl-Parameter dieser Feinfokussierten Ionenstrahlanlage bezüglich der Abscheidung steht im Vordergrund. Dabei wird ein kurzer Einblick in die Theorie der Schichtentstehung beim Abscheidevorgang gegeben. Untersuchungen der erzeugten Strukturen entsprechend der Schichtqualität und der Strukturabmessungen werden erläutert und die Ergebnisse diskutiert. Es konnten Wolframdrähte mit einer Länge von 20 ... 100 µm, einer Breite von minimal 150 nm und einer Höhe von maximal 600 nm angefertigt werden. Die Zusammensetzung der Drähte in Abhängigkeit der Prozessparameter wurde mittels AES bestimmt. Im optimalen Fall wurden die Schichtanteile zu 80% W, 5% O, 6% C und 9% Ga ermittelt (Angaben in Atomprozent). Der spezifische Widerstand der Wolframdrähte ist im Bereich 150 ... 320 µWcm gemessen worden.
Style APA, Harvard, Vancouver, ISO itp.
3

Mucke, S. "Herstellung von Nanometer-Strukturen mittels feinfokussiertem Ionenstrahl (FIB)". Forschungszentrum Rossendorf, 2004. https://hzdr.qucosa.de/id/qucosa%3A21721.

Pełny tekst źródła
Streszczenie:
Feinfokussierte Ionenstrahlen dienen in den Gebieten der Halbleiterindustrie und Materialforschung der Mikro- und Nanostrukturierung. Die vorliegende Arbeit beschäftigt sich mit den beiden Hauptanwendungen von fokussierten Ionenstrahlen, dem Materialabtrag und der ionenstrahlinduzierten Materialabscheidung. Dabei wird die hochauflösende Ionensäule CANION 31Z der Firma Orsay Physics mit Stromdichten von bis zu 10 A/cm2 und mit integriertem Gassystem eingesetzt. Es wird ausführlich auf Anwendungsbeispiele von Fokussierten Ionenstrahlsystemen im Bereich der Industrie und Forschung eingegangen. Schwerpunktmäßig wird die Abscheidung von Wolfram aus dem Precursorgas W(CO)6 (Wolframhexacarbonyl) auf Si und SiO2 als Substrat untersucht, mit dem Ziel, gut leitfähige Drähte (hier im Sinne von Leiterbahnen) mit minimalem Querschnitt herzustellen. Die Optimierung der Ionenstrahl-Parameter dieser Feinfokussierten Ionenstrahlanlage bezüglich der Abscheidung steht im Vordergrund. Dabei wird ein kurzer Einblick in die Theorie der Schichtentstehung beim Abscheidevorgang gegeben. Untersuchungen der erzeugten Strukturen entsprechend der Schichtqualität und der Strukturabmessungen werden erläutert und die Ergebnisse diskutiert. Es konnten Wolframdrähte mit einer Länge von 20 ... 100 µm, einer Breite von minimal 150 nm und einer Höhe von maximal 600 nm angefertigt werden. Die Zusammensetzung der Drähte in Abhängigkeit der Prozessparameter wurde mittels AES bestimmt. Im optimalen Fall wurden die Schichtanteile zu 80% W, 5% O, 6% C und 9% Ga ermittelt (Angaben in Atomprozent). Der spezifische Widerstand der Wolframdrähte ist im Bereich 150 ... 320 µWcm gemessen worden.
Style APA, Harvard, Vancouver, ISO itp.
4

Claude, Jean-Benoît. "Etude des mécanismes de nanogravure par FIB-LMAIS". Thesis, Aix-Marseille, 2017. http://www.theses.fr/2017AIXM0445/document.

Pełny tekst źródła
Streszczenie:
Les problématiques liées à la diminution de la taille des dispositifs actuels amènent l’industrie à réfléchir à des techniques de gravure ayant des résolutions à l’échelle de l’atome. Dans ce contexte, les techniques de nanostructuration directes sont très bien adaptées et représentent un potentiel important pour un futur proche dans les laboratoires de recherches. Le projet sur lequel j’ai travaillé avait pour but de coupler dans un environnement Ultra-Vide (UHV), un Dual-Beam, composé d’un FIB (Faisceau d’Ions Focalisé) et d’un MEB (Microscope électronique à balayage) et un bâti d’épitaxie par jet moléculaire (MBE), technique ultime en termes de dépôt. Cet environnement UHV répond à la nécessité de propreté absolue des substrats et constitue un moyen pertinent de rendre fonctionnels les dispositifs ainsi élaborés dans des domaines aussi variés que la micro-nanoélectronique, l’optoélectronique, le photovoltaïque, la spintronique, la plasmonique, etc. La connexion sous UHV de la nanofabrication FIB à la croissance MBE représente une voie unique pour fabriquer des structures 3D en alternant des étapes gravure/dépôt. Parmi les différentes applications, nous avons choisi de nous focaliser sur nanostructures de silicium. Le principal challenge pour l’industrie microélectronique et pour les chercheurs est d’être capable de réaliser une optoélectronique entièrement intégrée à base de Si. Cela nécessite de convertir les matériaux à base de Si en absorbeur/émetteur efficaces de lumière. Une des pistes les plus prometteuses pour obtenir une bande interdite directe est de combiner les effets de la fonctionnalisation chimique et du confinement quantique dans les nano-objets
The reduction of device sizes represents a major issue in microelectronic industry which motivates several teams of researchers to develop nanopatterning with atomic resolution. In this context, maskless nanostructuration techniques are well-adapted and have an important potential for the nearest future in labs and industry. The aim of the project I worked on is the connection in a Ultra-High-Vacuum (UHV) environment between a Dual-Beam, equipped with a FIB (Focused Ion Beam) and a SEM (Scanning Electron Microscopy) and a MBE (Molecular Beam Epitaxy) cluster, which is the highest-controlled deposition technique. The UHV environment is the solution for an absolute cleanliness and represents a relevant way to fabricate functionalized devices for micro-nanoelectronics, optoelectronics, photovoltaic, spintronic, plasmonic, etc… This UHV connection combining FIB nanostructuration and epitaxy growth technique provides a unique platform to elaborate tridimensional structures with milling/deposition steps. Among different applications, we decided to focus on silicon based nanostructures. Regarding silicon nanostructures. The main challenge for microelectronics industry and for the researchers in this field is the realization of optoelectronics devices fully integrated in silicon systems. This requires to convert silicon based materials into absorber/emitter of light. One of the most promising way to change the electronic structure and to get a direct bandgap is the combination of chemical functionalization and quantum confinement into silicon based nano-objects
Style APA, Harvard, Vancouver, ISO itp.
5

Guellil, Imene. "Nano-fonctionnalisation par FIB haute résolution de silicium". Electronic Thesis or Diss., Aix-Marseille, 2022. http://www.theses.fr/2022AIXM0361.

Pełny tekst źródła
Streszczenie:
Le but de ce travail est de développer un processus d’élaboration de boîtes quantiques (QD) de silicium-germanium (SiGe) avec des compositions allant du Si au Ge pur, et permettant d’obtenir des QD semi-conductrices et de tailles suffisamment petites pour l’obtention de confinement quantique. Pour cela, nous avons utilisé une combinaison de différentes techniques : l’épitaxie par jets moléculaires, la lithographie ionique par faisceau d’ions focalisés (FIBL) et le démouillage solide hétérogène. Dans ce contexte, la finalité de cette recherche est d’une part de développer un FIB qui puisse être couplé à un bâti d’épitaxie par jets moléculaires sous ultra-vide et d’autre part de valider le FIB avec deux applications : des nanogravures pour l’auto-organisation des QD et des nano-implantations de Si et de Ge pour la création de défauts locaux émetteurs de lumière. Nous avons utilisé la FIBL avec des sources d’ions d’alliage métallique liquide (LMAIS) filtrées en énergie utilisant des ions non polluants (Si et Ge) dans des substrats issus de la microélectronique tels que des substrats de SiGe sur silicium-sur-isolant (SGOI). Les nano-gravures doivent être totalement dénuées de pollution et aux caractéristiques variables et parfaitement contrôlées (taille, densité, profondeur). La morphologie des nano-gravures obtenues est ensuite caractérisée in-situ par microscopie électronique à balayage (SEM), et la profondeur est déterminée par des caractérisations ex-situ par microscopie de force atomique (AFM). Les nano-gravures réalisées par FIBL ont été comparées d’une part aux gravures plasmas avec He et Ne et d’autre part aux gravures obtenues par lithographie électronique (EBL)
The goal of this work is to develop a process for the elaboration of silicon-germanium (SiGe) quantum dots (QDs) with compositions ranging from Si to pure Ge, and allowing to obtain semiconducting QDs with sufficiently small sizes to obtain quantum confinement. For this purpose, we have used a combination of different techniques: molecular beam epitaxy, focused ion beam lithography (FIBL) and heterogeneous solid state dewetting. In this context, the aim of this research is on the one hand to develop a new FIB that can be coupled to the ultra-high vacuum molecular beam epitaxy growth chamber, and on the other hand to realize two applications: (i) nanopatterns for the self-organisation of Si and Ge QDs and (ii) nano-implantations of Si and Ge. We used FIBL with energy-filtered liquid metal alloy ion sources (LMAIS) using non-polluting ions (Si and Ge) for the milling of conventional microelectronic substrates such as SiGe on silicon-on-insulator (SGOI). The nanopatterns must be totally free of pollution and with variable and perfectly controlled characteristics (size, density, depth). The morphology of the nanopatterns is then characterized in-situ by scanning electron microscopy (SEM), and the depth is determined ex-situ by atomic force microscopy (AFM). The nanopatterns made by FIBL were compared on the one hand to plasma etchings with He and Ne and on the other hand to the etchings obtained by electronic lithography (EBL). Nanoimplantations of Si and Ge ions were realised in diamond and in ultra-thin SGOI for the fabrication of local defects
Style APA, Harvard, Vancouver, ISO itp.
6

Claude, Jean-Benoît. "Etude des mécanismes de nanogravure par FIB-LMAIS". Electronic Thesis or Diss., Aix-Marseille, 2017. http://www.theses.fr/2017AIXM0445.

Pełny tekst źródła
Streszczenie:
Les problématiques liées à la diminution de la taille des dispositifs actuels amènent l’industrie à réfléchir à des techniques de gravure ayant des résolutions à l’échelle de l’atome. Dans ce contexte, les techniques de nanostructuration directes sont très bien adaptées et représentent un potentiel important pour un futur proche dans les laboratoires de recherches. Le projet sur lequel j’ai travaillé avait pour but de coupler dans un environnement Ultra-Vide (UHV), un Dual-Beam, composé d’un FIB (Faisceau d’Ions Focalisé) et d’un MEB (Microscope électronique à balayage) et un bâti d’épitaxie par jet moléculaire (MBE), technique ultime en termes de dépôt. Cet environnement UHV répond à la nécessité de propreté absolue des substrats et constitue un moyen pertinent de rendre fonctionnels les dispositifs ainsi élaborés dans des domaines aussi variés que la micro-nanoélectronique, l’optoélectronique, le photovoltaïque, la spintronique, la plasmonique, etc. La connexion sous UHV de la nanofabrication FIB à la croissance MBE représente une voie unique pour fabriquer des structures 3D en alternant des étapes gravure/dépôt. Parmi les différentes applications, nous avons choisi de nous focaliser sur nanostructures de silicium. Le principal challenge pour l’industrie microélectronique et pour les chercheurs est d’être capable de réaliser une optoélectronique entièrement intégrée à base de Si. Cela nécessite de convertir les matériaux à base de Si en absorbeur/émetteur efficaces de lumière. Une des pistes les plus prometteuses pour obtenir une bande interdite directe est de combiner les effets de la fonctionnalisation chimique et du confinement quantique dans les nano-objets
The reduction of device sizes represents a major issue in microelectronic industry which motivates several teams of researchers to develop nanopatterning with atomic resolution. In this context, maskless nanostructuration techniques are well-adapted and have an important potential for the nearest future in labs and industry. The aim of the project I worked on is the connection in a Ultra-High-Vacuum (UHV) environment between a Dual-Beam, equipped with a FIB (Focused Ion Beam) and a SEM (Scanning Electron Microscopy) and a MBE (Molecular Beam Epitaxy) cluster, which is the highest-controlled deposition technique. The UHV environment is the solution for an absolute cleanliness and represents a relevant way to fabricate functionalized devices for micro-nanoelectronics, optoelectronics, photovoltaic, spintronic, plasmonic, etc… This UHV connection combining FIB nanostructuration and epitaxy growth technique provides a unique platform to elaborate tridimensional structures with milling/deposition steps. Among different applications, we decided to focus on silicon based nanostructures. Regarding silicon nanostructures. The main challenge for microelectronics industry and for the researchers in this field is the realization of optoelectronics devices fully integrated in silicon systems. This requires to convert silicon based materials into absorber/emitter of light. One of the most promising way to change the electronic structure and to get a direct bandgap is the combination of chemical functionalization and quantum confinement into silicon based nano-objects
Style APA, Harvard, Vancouver, ISO itp.
7

Rose, Philip David. "High-resolution in situ FIB lithography of MBE GaAs". Thesis, University of Cambridge, 1998. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.624802.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
8

Konečný, Martin. "Aplikace KPM na povrchu grafén/Si modifikovaném metodou FIB". Master's thesis, Vysoké učení technické v Brně. Fakulta strojního inženýrství, 2013. http://www.nusl.cz/ntk/nusl-230836.

Pełny tekst źródła
Streszczenie:
This diploma thesis is focused on the application of Kelvin probe microscopy on graphene fabricated by the chemical vapour deposition. The theoretical part of the thesis deals with basic principles of Kelvin force microscopy and focus ion beam. Further, basic properties of graphene and its possible fabrication methods are discussed. The experimental part is focused on the surface potential measurements on graphene membranes fabricated on the substrate modified by focus ion beam. Finally, atomic force microscope lithography was used for nanopatterning of graphene sheets.
Style APA, Harvard, Vancouver, ISO itp.
9

ElFallagh, Fathi Ali. "3D Analysis of Indentation Damage by FIB tomography and TEM". Thesis, University of Sheffield, 2008. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.500111.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
10

Houel, Arnaud. "Ecriture directe de motifs nanométriques assistée par STM et FIB". Aix-Marseille 2, 2002. http://www.theses.fr/2002AIX22063.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.

Książki na temat "FIB"

1

Wang, Zhiming M., red. FIB Nanostructures. Cham: Springer International Publishing, 2013. http://dx.doi.org/10.1007/978-3-319-02874-3.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
2

ill, Swanson Maggie, i Wetzel Rick ill, red. The fib. Waterbury, CT: Letter People Co., 2002.

Znajdź pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
3

The big fib. London: Puffin, 2011.

Znajdź pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
4

illustrator, Hedderwick Mairi, red. The big fib. Edinburgh: Barrington Stoke, 2015.

Znajdź pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
5

Anderson, Melissa. The big fib. Salt Lake City, Utah: Shadow Mountain, 2010.

Znajdź pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
6

Layton, George. The fib: And other stories. London: HarperCollins, 1994.

Znajdź pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
7

Farrell, Darren. Doug-Dennis and the flyaway fib. New York: Dial Books for Young Readers, 2010.

Znajdź pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
8

Ayatrohaedi. FS-FIB UI di mata Ayatrohaedi. Depok: Fakultas Ilmu Pengetahuan Budaya, Universitas Indonesia, 2007.

Znajdź pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
9

fib. fib Model Code for Concrete Structures 2010. Weinheim, Germany: Wiley-VCH Verlag GmbH & Co. KGaA, 2013. http://dx.doi.org/10.1002/9783433604090.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
10

Ian, Hislop, red. Lord Gnome's complete fib and lie diet. London: Private Eye, 1991.

Znajdź pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.

Części książek na temat "FIB"

1

Labille, Jérôme, Natalia Pelinovskaya, Céline Botta, Jean-Yves Bottero, Armand Masion, Dilip S. Joag, Richard G. Forbes i in. "FIB-SEM". W Encyclopedia of Nanotechnology, 824. Dordrecht: Springer Netherlands, 2012. http://dx.doi.org/10.1007/978-90-481-9751-4_100246.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
2

Bauch, Jürgen, i Rüdiger Rosenkranz. "FIB - Ionenfeinstrahltechnik". W Physikalische Werkstoffdiagnostik, 14–15. Berlin, Heidelberg: Springer Berlin Heidelberg, 2017. http://dx.doi.org/10.1007/978-3-662-53952-1_7.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
3

Prieto, Gonzalo. "FIB-SEM Tomography". W Encyclopedia of Membranes, 770–72. Berlin, Heidelberg: Springer Berlin Heidelberg, 2016. http://dx.doi.org/10.1007/978-3-662-44324-8_2211.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
4

Prieto, Gonzalo. "FIB-SEM Tomography". W Encyclopedia of Membranes, 1–3. Berlin, Heidelberg: Springer Berlin Heidelberg, 2015. http://dx.doi.org/10.1007/978-3-642-40872-4_2211-1.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
5

Giannuzzi, Lucille A. "FIB-SEM for Biomaterials". W Biological Field Emission Scanning Electron Microscopy, 517–32. Chichester, UK: John Wiley & Sons, Ltd, 2019. http://dx.doi.org/10.1002/9781118663233.ch24.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
6

Li, Jian, i Pei Liu. "On FIB Milling Parameters". W The Minerals, Metals & Materials Series, 3–9. Cham: Springer International Publishing, 2018. http://dx.doi.org/10.1007/978-3-319-72484-3_1.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
7

"Fibs Don't Fib". W Technical Analysis of the Currency Market, 99–112. Hoboken, NJ, USA: John Wiley & Sons, Inc., 2015. http://dx.doi.org/10.1002/9781119201496.ch7.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
8

"FIB-SEM". W Encyclopedia of Biophysics, 759. Berlin, Heidelberg: Springer Berlin Heidelberg, 2013. http://dx.doi.org/10.1007/978-3-642-16712-6_100318.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
9

"FIB-SEM". W Encyclopedia of Nanotechnology, 1169. Dordrecht: Springer Netherlands, 2016. http://dx.doi.org/10.1007/978-94-017-9780-1_100332.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
10

Fine, Michael, James W. Peters i Robert S. Lawrence. "A. FIB". W The Nature of Health, 127–30. CRC Press, 2018. http://dx.doi.org/10.1201/9781315365398-19.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.

Streszczenia konferencji na temat "FIB"

1

Michael, Joseph. "Introduction to FIB and applications: Plasma FIB and laser." W Proposed for presentation at the CCEM Lecture Series on Electron and Ion Microscopy held June 7-11, 2021 in Hamilton , Ontario, Canado. US DOE, 2021. http://dx.doi.org/10.2172/1870975.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
2

Stegmann, Heiko, Hubert Schulz i James Whitby. "FIB-SIMS in FIB-SEMs—Practical Aspects for Physical Failure Analysis". W ISTFA 2022. ASM International, 2022. http://dx.doi.org/10.31399/asm.cp.istfa2022p0257.

Pełny tekst źródła
Streszczenie:
Abstract Secondary ion mass spectrometry (SIMS) is a well-established method in semiconductor manufacturing process control and development for trace metal and organic contaminant detection, as well as for depth profiling of ultra-thin film stacks and total dopant concentrations. Using a focused ion beam (FIB) as the primary ion beam provides a versatile and highly sensitive analytical technique with lateral resolution down to a few tens of nanometers, an appropriate technique for targeted failure analysis on functional device structures. This paper presents an example to show the potential of FIB-SIMS to support failure analysis, concentrating on practical aspects of the technique.
Style APA, Harvard, Vancouver, ISO itp.
3

Gadkari, Kaustubh, M. Lawrence Weikum, Dan Massey i Christos Papadopoulos. "Pragmatic router FIB caching". W 2015 IFIP Networking Conference (IFIP Networking). IEEE, 2015. http://dx.doi.org/10.1109/ifipnetworking.2015.7145296.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
4

Suzuki, Eiji, Josh Adams, Calvin Ball, Tim McCready i Sonya Robinson. "FIB on Test Board". W ISTFA 2016. ASM International, 2016. http://dx.doi.org/10.31399/asm.cp.istfa2016p0402.

Pełny tekst źródła
Streszczenie:
Abstract This paper offers an alternative solution in dealing with Focused Ion Beam (FIB) circuit edit debug of RF products that often required soldering the device onto a test board to enable sensitive RF characterization. Performing FIB circuit edit while the device is soldered on a test board not only eliminates signal degradation and inconsistency caused by a socket; but also, it allows for adding additional FIB edits on the same device. The conventional way of RF product debug of devices in a wire bond package was to characterize the device in a socket, perform the FIB circuit edit, encapsulate the cavity to protect the device from physical & thermal damage, solder the device onto the test board, and then perform post-FIB characterization. This is a very long, one-way process and needs multiple devices for design debug. For RF products in flip chip package, this approach was extremely difficult to almost impossible, because thermal stress of soldering device would significantly deform thinned die. All characterization had to be done with a socket, which often introduced changes of the same magnitude of the parameters of interest as well as repeatability issues. The purpose of this paper is to outline steps to allow for the RF FIB and characterization cycle to be done in a way to decrease throughput time and increase measurement accuracy. True characterization of highly sensitive RF circuit modifications is achieved through: soldering the device to the test board, performing sample preparation, preforming pre-FIB characterization, preforming FIB, and finally preforming post FIB characterization. Elimination of the need to solder a thinned device to a test board allows for the edit location to remain open enabling additional FIB edits to be performed on the same device. This eliminates redundant steps in the device sample preparation and enables quicker throughput times.
Style APA, Harvard, Vancouver, ISO itp.
5

Bonifacio, C. S., P. Nowakowski, M. J. Campin, M. L. Ray i P. E. Fischione. "Low Energy Ar Ion Milling of FIB TEM Specimens from 14 nm and Future FinFET Technologies". W ISTFA 2018. ASM International, 2018. http://dx.doi.org/10.31399/asm.cp.istfa2018p0241.

Pełny tekst źródła
Streszczenie:
Abstract Transmission electron microscopy (TEM) specimens are typically prepared using the focused ion beam (FIB) due to its site specificity, and fast and accurate thinning capabilities. However, TEM and high-resolution TEM (HRTEM) analysis may be limited due to the resulting FIB-induced artifacts. This work identifies FIB artifacts and presents the use of argon ion milling for the removal of FIB-induced damage for reproducible TEM specimen preparation of current and future fin field effect transistor (FinFET) technologies. Subsequently, high-quality and electron-transparent TEM specimens of less than 20 nm are obtained.
Style APA, Harvard, Vancouver, ISO itp.
6

Moore, Thomas M. "Nanomechanical Characterization in the FIB". W ISTFA 2005. ASM International, 2005. http://dx.doi.org/10.31399/asm.cp.istfa2005p0209.

Pełny tekst źródła
Streszczenie:
Abstract The availability of the focused ion beam (FIB) microscope with its excellent imaging resolution, depth of focus and ion milling capability has made it an appealing platform for materials characterization at the sub-micron, or "nano" level. This article focuses on nanomechanical characterization in the FIB, which is an extension of the FIB capabilities into the realm of nano-technology. It presents examples that demonstrate the power and flexibility of nanomechanical testing in the FIB or scanning electron microscope with a probe shaft that includes a built-in strain gauge. Loads that range from grams to micrograms are achievable. Calibration is limited only by the availability of calibrated load cells in the smallest load ranges. Deflections in the range of a few nanometers range can be accurately applied. Simultaneous electrical, mechanical, and visual data can be combined to provide a revealing study of physical behavior of complex and dynamic nanostructures.
Style APA, Harvard, Vancouver, ISO itp.
7

Sarrar, Nadi, Robert Wuttke, Stefan Schmid, Marcin Bienkowski i Steve Uhlig. "Leveraging locality for FIB aggregation". W GLOBECOM 2014 - 2014 IEEE Global Communications Conference. IEEE, 2014. http://dx.doi.org/10.1109/glocom.2014.7037090.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
8

Yun-Ru Wu, Shu-Yi Kao i Shih-Arn Hwang. "Minimizing ECO routing for FIB". W 2010 International Symposium on VLSI Design, Automation and Test (VLSI-DAT). IEEE, 2010. http://dx.doi.org/10.1109/vdat.2010.5496675.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
9

Giannuzzi, Lucille A. "Multi-signal FIB/SEM tomography". W SPIE Defense, Security, and Sensing. SPIE, 2012. http://dx.doi.org/10.1117/12.919821.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
10

Kaufmann, Henry C., William B. Thompson i Gregory J. Dunn. "Fib Mask Repair With Microtrim". W 1986 Microlithography Conferences, redaktor Phillip D. Blais. SPIE, 1986. http://dx.doi.org/10.1117/12.963669.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.

Raporty organizacyjne na temat "FIB"

1

Shul, Randy J., Michael J. Rye, Greg Salazar i Steve Ball. FEI FIB/SEM Failure Analysis. Office of Scientific and Technical Information (OSTI), styczeń 2019. http://dx.doi.org/10.2172/1492079.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
2

Campbell, A. N., D. M. Tanner, J. M. Soden, E. Adams, M. Gibson, M. Abramo, A. Doyle i D. K. Stewart. Electrical and chemical characterization of FIB-deposited insulators. Office of Scientific and Technical Information (OSTI), październik 1997. http://dx.doi.org/10.2172/532558.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
3

Ogura, K. S., S. B. Donald i B. W. Chung. Improving Microstructural Quantification in 3D FIB-SEM Tomography. Office of Scientific and Technical Information (OSTI), wrzesień 2019. http://dx.doi.org/10.2172/1566797.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
4

Trotter, G. Terminology for Forwarding Information Base (FIB) based Router Performance. RFC Editor, grudzień 2001. http://dx.doi.org/10.17487/rfc3222.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
5

Harmer, M. P. A Focused-Ion Beam (FIB) Nano-Fabrication and Characterization Facility. Fort Belvoir, VA: Defense Technical Information Center, listopad 2002. http://dx.doi.org/10.21236/ada408750.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
6

Tegtmeier, Eric, i Caitlin Taylor. Single Crystal UO2 cube creation using a Xe Plasma FIB. Office of Scientific and Technical Information (OSTI), październik 2020. http://dx.doi.org/10.2172/1688725.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
7

Bradley, J., Z. Dai, G. Graham i N. Teslich. Final Report - SRNL Agreement #AC51296V SEM, FIB, TEM Studies of CZT Samples. Office of Scientific and Technical Information (OSTI), sierpień 2007. http://dx.doi.org/10.2172/924965.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
8

Wall, M., M. Fluss i C. Schaldach. Dual Beam FIB for Imaging, Nano-Sectioning and Sample Preparation of Spores: Initial Results. Office of Scientific and Technical Information (OSTI), kwiecień 2004. http://dx.doi.org/10.2172/892791.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
9

Gillor, Osnat, Stefan Wuertz, Karen Shapiro, Nirit Bernstein, Woutrina Miller, Patricia Conrad i Moshe Herzberg. Science-Based Monitoring for Produce Safety: Comparing Indicators and Pathogens in Water, Soil, and Crops. United States Department of Agriculture, maj 2013. http://dx.doi.org/10.32747/2013.7613884.bard.

Pełny tekst źródła
Streszczenie:
Using treated wastewater (TWW) for crop irrigation represents an important opportunity for ensuring adequate food production in light of growing freshwater scarcity worldwide. However, the environmentally sustainable approach of using TWW for irrigation can lead to contamination of produce with fecal pathogens that may remain in treated water. The overall goal of this research was to evaluate the correlation between the presence of fecal indicator bacteria (FIB) and that of a suite of human pathogens in TWW, the irrigated soil, and crops. Field experiments were conducted to compare secondary and tertiary TWW with dechlorinated tap water for irrigation of tomatoes, a typical commercial crop, in Israel, a semi-arid country. Human pathogens including bacteria (Salmonella), protozoa (Cryptosporidiumand Giardia), and viruses (Adenovirus [AV Types A, B, C & 40/41] and Enterovirus [EV71 subtypes]) were monitored in two field trials using a combination of microscopic, cultivation-based, and molecular (qPCR) techniques. Results from the field trials indicate that microbial contamination on the surface of tomatoes did not appear to be associated with the source of irrigated waters; FIB contamination was not statistically different on tomatoes irrigated with TWW as compared to tomatoes irrigated with potable water. In fact, Indicator bacteria testing did not predict the presence of pathogens in any of the matrices tested. High concentrations of FIB were detected in water and on tomato surfaces from all irrigation treatment schemes, while pathogen contamination on tomato surfaces (Cryptosporidiumand Salmonella) was only detected on crops irrigated with TWW. These results suggest that regular monitoring for pathogens should take place to accurately detect presence of harmful microorganisms that could threaten consumer safety. A notable result from our study is that the large numbers of FIB in the water did not appear to lead to FIB accumulation in the soil. With the exception of two samples, E. coli that was present at 10³ to 10⁴ cells/100 mL in the water, was not detected in the soil. Other bacterial targets associated with the enteric environment (e. g., Proteusspp.) as well as protozoal pathogens were detected in the TWW, but not in the soil. These findings suggest that significant microbial transfer to the soil from TWW did not occur in this study. The pattern of FIB contamination on the surfaces of tomatoes was the same for all treatment types, and showed a temporal effect with more contamination detected as the duration of the field trial increased. An important observation revealed that water quality dramatically deteriorated between the time of its release from the wastewater treatment plant and the time it was utilized for irrigation, highlighting the importance of performing water quality testing throughout the growing season at the cultivation site.
Style APA, Harvard, Vancouver, ISO itp.
10

Ito, Takatoshi, i Masahiro Yamada. Did the Reform Fix the London Fix Problem? Cambridge, MA: National Bureau of Economic Research, kwiecień 2017. http://dx.doi.org/10.3386/w23327.

Pełny tekst źródła
Style APA, Harvard, Vancouver, ISO itp.
Oferujemy zniżki na wszystkie plany premium dla autorów, których prace zostały uwzględnione w tematycznych zestawieniach literatury. Skontaktuj się z nami, aby uzyskać unikalny kod promocyjny!

Do bibliografii