Articoli di riviste sul tema "Lithography"

Segui questo link per vedere altri tipi di pubblicazioni sul tema: Lithography.

Cita una fonte nei formati APA, MLA, Chicago, Harvard e in molti altri stili

Scegli il tipo di fonte:

Vedi i top-50 articoli di riviste per l'attività di ricerca sul tema "Lithography".

Accanto a ogni fonte nell'elenco di riferimenti c'è un pulsante "Aggiungi alla bibliografia". Premilo e genereremo automaticamente la citazione bibliografica dell'opera scelta nello stile citazionale di cui hai bisogno: APA, MLA, Harvard, Chicago, Vancouver ecc.

Puoi anche scaricare il testo completo della pubblicazione scientifica nel formato .pdf e leggere online l'abstract (il sommario) dell'opera se è presente nei metadati.

Vedi gli articoli di riviste di molte aree scientifiche e compila una bibliografia corretta.

1

Vandаlovskyi, V. "Artistic and technical features of the lithographic manner mixed technique". Research and methodological works of the National Academy of Visual Arts and Architecture, n. 27 (27 febbraio 2019): 92–98. http://dx.doi.org/10.33838/naoma.27.2018.92-98.

Testo completo
Abstract (sommario):
Nowadays the problem of improving the artistic and technical features of the lithographic manner of mixed technique has matured already. The author of this study expanded and supplemented the ways of combining a variety of manners of lithographic techniques through practical experiments to achieve positive results in this area. Mixed technique is one of the types of lithography, in which a certain combination of lithographic manners engraving on stone with pencil, blurring ink, root paper, color lithography is used on one stone depending on the intent of the author, his artistic taste and possession of a large number of techniques in lithography, such as shading, sketching, blurring ink, pen, prints of cloth and other textures and the like. Lithography got the greatest spread in France, the gifted artists on stone included T. Géricault, Antoine-Jean Gros, Claude Joseph Vernet, Nicolas-Toussaint Charlet, O. Raffet, Ferdinand Victor Eugène Delacroix, Louis-Léopold Boilly, Paul Gavarni, Henri Grévedon, A. Toulouse-Lautrec and many others. Famous Ukrainian artists, namely M. Deregus, M. Popov, S. Yakutovich, and others worked in lithographic mixed technique. In particular, N. Popov in the creation of graphic works used the author's manner of execution of lithographs – drawing with acid. In artistic creativity to the main lithographic technique artists add elements of other graphic techniques: combine with etching, woodcut, monotype and other techniques. The program of teaching lithography in National Academy of Fine Arts and Architecture in methodical terms is designed so that the student of the specialty "Free graphics, design and illustration of the book", mastering lithographic technique and getting acquainted with her manners, could be able to do on this basis a mixed lithographic technique. Mixed technique is the final task, in which the student is given the opportunity to choose and combine the manners of lithography. Senior students improve their knowledge in the field of technical and technological capabilities of lithography. Due to the rich, original technique lithography has unlimited visual possibilities. It met the requirements of different artists, despite the difference in styles, language and artistic techniques. Lithography makes it possible to solve the composition in black and white, dashed, tonal, color techniques through the use of different manners.
Gli stili APA, Harvard, Vancouver, ISO e altri
2

Kwon, B., e Jong H. Kim. "Importance of Molds for Nanoimprint Lithography: Hard, Soft, and Hybrid Molds". Journal of Nanoscience 2016 (22 giugno 2016): 1–12. http://dx.doi.org/10.1155/2016/6571297.

Testo completo
Abstract (sommario):
Nanoimprint lithography has attracted considerable attention in academic and industrial fields as one of the most prominent lithographic techniques for the fabrication of the nanoscale devices. Effectively controllable shapes of fabricated elements, extremely high resolution, and cost-effectiveness of this especial lithographic system have shown unlimited potential to be utilized for practical applications. In the past decade, many different lithographic techniques have been developed such as electron beam lithography, photolithography, and nanoimprint lithography. Among them, nanoimprint lithography has proven to have not only various advantages that other lithographic techniques have but also potential to minimize the limitations of current lithographic techniques. In this review, we summarize current lithography techniques and, furthermore, investigate the nanoimprint lithography in detail in particular focusing on the types of molds. Nanoimprint lithography can be categorized into three different techniques (hard-mold, soft-mold, and hybrid nanoimprint) depending upon the molds for imprint with different advantages and disadvantages. With numerous studies and improvements, nanoimprint lithography has shown great potential which maximizes its effectiveness in patterning by minimizing its limitations. This technique will surely be the next generation lithographic technique which will open the new paradigm for the patterning and fabrication in nanoscale devices in industry.
Gli stili APA, Harvard, Vancouver, ISO e altri
3

Wen, Zaoxia, Xingyu Liu, Wenxiu Chen, Ruolin Zhou, Hao Wu, Yongmei Xia e Lianbin Wu. "Progress in Polyhedral Oligomeric Silsesquioxane (POSS) Photoresists: A Comprehensive Review across Lithographic Systems". Polymers 16, n. 6 (19 marzo 2024): 846. http://dx.doi.org/10.3390/polym16060846.

Testo completo
Abstract (sommario):
This paper offers a comprehensive overview of the polyhedral oligomeric silsesquioxane (POSS) and POSS-based composites within the realm of photoresist resin. The study involves a systematic exploration and discussion of the contributions made by POSS across various lithographic systems, with specific emphasis on critical parameters such as film formation, sensitivity, resolution, solubility, and edge roughness. These lithographic systems encompass X-ray lithography (XRL), deep ultraviolet nanoimprint lithography (DUV-NIL), extreme ultraviolet lithography (EUV), and guided self-assembled lithography (DSA). The principal objective of this paper is to furnish valuable insights into the development and utilization of POSS-based photoresist materials in diverse lithographic contexts.
Gli stili APA, Harvard, Vancouver, ISO e altri
4

Lund, Sarah E. "Fossils: Lithography’s Porous Time and Eugène Delacroix’s Faust Marginalia". Nineteenth Century Studies 35 (novembre 2023): 1–32. http://dx.doi.org/10.5325/ninecentstud.35.0001.

Testo completo
Abstract (sommario):
Abstract The new printing technique of lithography, which flourished in the early nineteenth century, has been examined for its connections to Romantic ideals of artistic subjectivity, to the liberal press, and to a boom in visual media. This article centers lithography’s unique materiality to investigate the significance of its new technique—its use of limestone—that establishes compelling connections to natural history and new conceptions of time. Eugène Delacroix’s (1798–1863) unruly marginalia, which populate the borders of the first printer’s proofs of his 1828 lithographic illustrations of Johann von Goethe’s (1749–1832) Faust, serve as a case study. Drawn on and printed from lithographic limestone, the marginalia can be interpreted as fossils. This article examines how lithography facilitated new conceptions of history, time, and memory that provided grounds for a Romantic artist like Delacroix to blur the boundaries between the human and the earthly, the artificial and the natural, the ephemeral and the historic, to find within the liminal the production and reproduction of transgressive forms that persisted throughout his artistic oeuvre.
Gli stili APA, Harvard, Vancouver, ISO e altri
5

Prakoso, Emmanuel Putro, Inovensius Hugo Bima Wicaksana, Nick Soedarso e Rina Carina. "TEKNIK CETAK DATAR KITCHEN LITHOGRAPY SEBAGAI MEDIA EKSPRESI DESAIN PADA METODE REPRODUKSI GRAFIKA". Jurnal Dimensi DKV Seni Rupa dan Desain 4, n. 2 (1 ottobre 2019): 155. http://dx.doi.org/10.25105/jdd.v4i2.5888.

Testo completo
Abstract (sommario):
<p>Abstract</p><p><br />The Kitchen Lithography Flat Printing Technique as Design Expression Media on Graphic Reproduction Method. The lack of media innovation in lithography flat printing techniques has resulted in the process being identical to the use of limestone stones as a reference for images, while the existence of these stones is quite difficult to obtainand quite rare in Indonesia. This has resulted in fewer and fewer artists and designers<br />who are using the lithography technique in the design world. The use of aluminum foilpaper which can be reacted with certain coloring agents, raises the idea to apply the new lithography technique on aluminum foil paper media known as kitchen lithograpytechnique. This study focused on the experimental stage and exploration of aluminumfoil paper kitchen lithograpy on flat printing techniques as a design expression media.<br />The resulf of this rescach is this technigue recommended as espression media on graphic reproduction method because of not using hazardous metenal and the production process is more effizient and easy<br /><br /><strong>Abstrak</strong><br />Teknik Cetak Datar Kitchen Lithograpy sebagai Media Ekspresi Desain pada Metode Reproduksi Grafika. Kurangnya inovasi media pada teknik cetak datar lithography mengakibatkan dalam prosesnya hanya identik dengan penggunaan batu limestone saja sebagai acuan gambar, sedangkan keberadaan batu tersebut cukup sulit didapatkan dan cukup langka di Indonesia. Hal ini berdampak pada semakin sedikitnya<br />seniman dan desainer yang berkespresi mengunakan teknik lithography ini dalam dunia desain. Penggunaan kertas alumunium foil yang dapat direaksikan dengan zat pewarna tertentu, menimbulkan gagasan untuk menerapkan teknik baru lithography pada media kertas alumunium foil yang dikenal dengan istilah teknik kitchen lithograpy. Penelitian ini difokuskan pada tahap eksperimen dan eksplorasi kertas alu munium foil kitchen lithograpy pada teknik cetak datar sebagai media berekspresi desain. Hasil penelitian ini mengungkapkan bahwa teknik ini layak sebagai media ekspresi desain pada metode reproduksi grafika karena tidak menggunakan zat-zat berbahaya, serta penggunaan bahan dan alat lebih mudah dan efisien.</p>
Gli stili APA, Harvard, Vancouver, ISO e altri
6

Wu, Yu, e Zihao Xiao. "The Recent Progress of Lithography Machine and the State-of-art Facilities". Highlights in Science, Engineering and Technology 5 (7 luglio 2022): 155–65. http://dx.doi.org/10.54097/hset.v5i.737.

Testo completo
Abstract (sommario):
With the rapid development of industrial intelligent manufacturing and electronic information technology, the importance of integrated circuits has grown fast. Photolithography, as the core technology of integrated circuit industry, has become a key research target for researchers all over the world. In this paper, we provide a brief introduction to photolithography as well as an outlook on the future development direction. Firstly, the key metric of lithography system, which is resolution, and how it relates to lithographic performance is analyzed. Secondly, some exposure methods developed on UV and DUV light sources are discussed, which are commonly used in the industry nowadays. Subsequently, this paper presents the structure and performance of some representative lithography equipment. Then, some summarizations are completed about the most recent advances in EUV lithography and high NA lithography. Finally, we examine the limitations of current lithography and forecast the future of lithography. The goal of this paper is to provide a guide on lithography equipment, particularly the most advanced products available nowadays. Additionally, some potential challenges that photolithography may face in its future development are highlighted, and some perspectives on how photolithography will evolve over the next decade are provided. These results shed light on guiding the future development direction of lithography machine as well as ways to push Moore’s law even further.
Gli stili APA, Harvard, Vancouver, ISO e altri
7

Voznyuk G. V., Grigorenko I. N., Mitrofanov M. I., Nikolaev V. V. e Evtikhiev V. P. "Subwave textured surfaces for the radiation coupling from the waveguide". Technical Physics Letters 48, n. 3 (2022): 76. http://dx.doi.org/10.21883/tpl.2022.03.52896.19103.

Testo completo
Abstract (sommario):
The paper presents a procedure for creating on GaAs(100) substrates textured surfaces by ion-beam etching with a focused beam. The possibility of flexibly controlling the shape and profile of the formed submicron elements of textured media is shown; this will later allow formation of textured surfaces of almost any complexity for realizing the surface radiation coupling from the waveguide. Original lithographic masks were developed, and 3D lithography was accomplished. The obtained lithographic patterns were controlled by the methods of optical, electron and atomic force microscopy. Keywords: ion-beam etching, metasurface, textured surface, lithography, surface coupling of radiation.
Gli stili APA, Harvard, Vancouver, ISO e altri
8

Sharma, Ekta, Reena Rathi, Jaya Misharwal, Bhavya Sinhmar, Suman Kumari, Jasvir Dalal e Anand Kumar. "Evolution in Lithography Techniques: Microlithography to Nanolithography". Nanomaterials 12, n. 16 (11 agosto 2022): 2754. http://dx.doi.org/10.3390/nano12162754.

Testo completo
Abstract (sommario):
In this era, electronic devices such as mobile phones, computers, laptops, sensors, and many more have become a necessity in healthcare, for a pleasant lifestyle, and for carrying out tasks quickly and easily. Different types of temperature sensors, biosensors, photosensors, etc., have been developed to meet the necessities of people. All these devices have chips inside them fabricated using diodes, transistors, logic gates, and ICs. The patterning of the substrate which is used for the further development of these devices is done with the help of a technique known as lithography. In the present work, we have carried out a review on different types of lithographic techniques such as optical lithography, extreme ultraviolet lithography, electron beam lithography, X-ray lithography, and ion beam lithography. The evolution of these techniques with time and their application in device fabrication are discussed. The different exposure tools developed in the past decade to enhance the resolution of these devices are also discussed. Chemically amplified and non-chemically amplified resists with their bonding and thickness are discussed. Mask and maskless lithography techniques are discussed along with their merits and demerits. Device fabrication at micro and nano scale has been discussed. Advancements that can be made to improve the performance of these techniques are also suggested.
Gli stili APA, Harvard, Vancouver, ISO e altri
9

Zhang, Zhen. "Optimization of Triple Periodic Bilayer Stacks and Aerial Image Performance Analysis". International Journal of Electronics and Electrical Engineering 8, n. 3 (settembre 2020): 53–57. http://dx.doi.org/10.18178/ijeee.8.3.53-57.

Testo completo
Abstract (sommario):
EUV lithography uses 13.5 nm wavelength incident light. For all materials, the absorption of EUV wavelength cannot be neglected. Therefore, EUV lithography system employs a reflective system. In order to to increase the reflectivity rate, We optimize triple periodic bilayer stacks for 13.5 nm EUV- lithography with a 4 × demagnification and an Numerical Aperture (NA) of 0.5. The optimization is performed using multi-objective Genetic Algorithms (GA). Selected bilayer stacks are further investigated by adding a 9.5 nm dense line absorber. The aerial images are calculated and the lithographic performance of these mask designs are evaluated in terms of threshold, NILS, EL and DOF.
Gli stili APA, Harvard, Vancouver, ISO e altri
10

Seo, Manseung, Haeryung Kim e Masahiko Onosato. "Lithography Using a Microelectronic Mask". Journal of Robotics and Mechatronics 18, n. 6 (20 dicembre 2006): 816–23. http://dx.doi.org/10.20965/jrm.2006.p0816.

Testo completo
Abstract (sommario):
In the strategy we propose for lithography using a microelectronic mask, the overlay intensity basis is defined taking into account instantaneous distributions of optical energy through the microelectronic mask from a micromirror onto a scrolling substrate. The microelectronic mask involves transfer of patterns as optical energy. We implemented a prototype lithography simulation system for generating lithographic data and predicting optomechatronic results. To ensure feasibility, we conducted lithography using a microelectronic mask on prototype equipment to fabricate actual wafers parallel to simulation. Results of simulation and experiments confirmed consistency both physically and mathematically. The appropriateness of the devised method, the precision of the implemented system, and the capability of pattern size control adjusting the occupancy limit without data modification have thus been confirmed.
Gli stili APA, Harvard, Vancouver, ISO e altri
11

Panzarasa, Guido, e Guido Soliveri. "Photocatalytic Lithography". Applied Sciences 9, n. 7 (27 marzo 2019): 1266. http://dx.doi.org/10.3390/app9071266.

Testo completo
Abstract (sommario):
Patterning, the controlled formation of ordered surface features with different physico-chemical properties, is a cornerstone of contemporary micro- and nanofabrication. In this context, lithographic approaches owe their wide success to their versatility and their relative ease of implementation and scalability. Conventional photolithographic methods require several steps and the use of polymeric photoresists for the development of the desired pattern, all factors which can be deleterious, especially for sensitive substrates. Efficient patterning of surfaces, with resolution down to the nanometer scale, can be achieved by means of photocatalytic lithography. This approach is based on the use of photocatalysts to achieve the selective chemical modification or degradation of self-assembled monolayers, polymers, and metals. A wide range of photoactive compounds, from semiconducting oxides to porphyrins, have been demonstrated to be suitable photocatalysts. The goal of the present review is to provide a comprehensive state-of-the-art photocatalytic lithography, ranging from approaches based on semiconducting oxides to singlet oxygen-based lithography. Special attention will be dedicated to the results obtained for the patterning of polymer brushes, the sculpturing of metal nanoparticle arrays, and the patterning of graphene-based structures.
Gli stili APA, Harvard, Vancouver, ISO e altri
12

Choi, Young Joo, Ran Namgung, Jun Soo Kim, Dae Seok Song, Hyeon Park, Shin-hyo Bae, Min-Ki Chon et al. "Stochastic defect removal coating for high-performance extreme ultraviolet lithography". Journal of Vacuum Science & Technology B 40, n. 4 (luglio 2022): 042602. http://dx.doi.org/10.1116/6.0001723.

Testo completo
Abstract (sommario):
To reduce the stochastic defect caused by insufficient photon numbers of extreme ultraviolet lithography, a simple additional process has been designed and experimented. The additional process consists of one coating and washing process after pattern formation by lithography. The coating material was named stochastic defect removal coating and was carefully designed to reduce the stochastic defects without harming the lithographic margin. The defect reduction up to 48% was accomplished by failure-free latitude measurement and defect inspection.
Gli stili APA, Harvard, Vancouver, ISO e altri
13

Meijer, Rob, Peter Thomson e Lysbeth Croiset van Uchelen-Brouwer. "The History of the Lithographie Royale, 1818-25". Quaerendo 31, n. 4 (2001): 281–306. http://dx.doi.org/10.1163/157006901x00173.

Testo completo
Abstract (sommario):
AbstractFrom 1816 lithographic businesses began to develop in Western Europe. Use was made of a printing technique, lithography - based on the repellent working of water and fat - which was catching on especially in Germany and France. The Low Countries remained behind: early in 1818 only small lithographic printing offices were to be found in Brussels, Amsterdam and Rotterdam. At about that time the Frenchman MJ.V. Duval de Mercourt, calling himself an architect, presented himself in the Netherlands. Stimulated by the Dutch envoy at the court of Paris, Baron Fagel, he requested King William I to be permitted to found lithographical offices in The Hague and Brussels and to call himself Royal Lithographer. This was permitted by Royal Decree of 16 July 1818. Added to it was, highly unusually, that the establishment of Duval was greeted with approval, and that the hope was expressed that he might succeed in his attempts. The background of all this was the industrialisation policy that was pursued more in particular by the king himself. Indeed, Duval set about his business with great expectations and fervour. Probably he officially opened a - for Dutch standards - large lithographic printing office which consisted of at least two presses, which he called the Lithographie Royale'. He focused in particular on government commissions and especially on making autographs of written documents which up to that time had to be copied by hand. This technique, where writing was done with fat ink on prepared paper, after which the text was transferred to the stone and printed, seemed a godsend indeed. This autographic technique also had the king's interest: for, would it not be possible to introduce it and subsequently discharge clerks at the ministries? The future seemed to favour Duval, but alas, reality was different. It appeared that government as well as private institutions would provide him with hardly any work, possibly because of his high prices. It is also remarkable that some ministries did not want to have anything to do with this new printing method. After half a year he was already threatened with financial downfall, also through a loss he had personally suffered and the downward turn of the Dutch economy. The king then intervened with a number of measures in favour of Duval, of which the most important was that he was allowed to work for the Ministry of Water Management. An attempt was also made to accommodate him at the Algemeene Landsdrukkerij in The Hague, a government printing office with a monopoly on all government printed matter. The directors, however, confirmed letterpress printers, did not believe in this new printing technique which, according to them, could have no future because it would always be more expensive than their own beautiful printing. Because the locations of the ministries were changing between The Hague and Brussels about every half year, Duval was forced to follow the Ministry of Water Management to Brussels and to found a lithographic printing office there as well. Although he was supplied with more work there, he appeared to be at the end of his tether half way through 1819 due to a lack of financial resources. His financial situation was such that he was even refused a government advance because it was feared that he would not be able to reimburse it. Thereafter he was forced to make a disadvantageous contract with a private person, after which he left for The Hague, a destitute man. Although work from the Ministry of Water Management was also given to him there, things continued to go downhill for him. He now also lost his premises in The Hague so that he could no longer accept commissions. True, some time later a new place was found, but he had to agree that his co-worker, D. Abrahams, was to be appointed as his partner. Halfway through 1820 there arrived at last a reply to the many petitons and pleas he had sent to the king. This was, however, negative, because it was feared that a financial contribution from the government might slow down the downfall of his enterprise, but would not prevent it. Even damages were not granted because he was supposed to have given a false impression of things. He then left first to go to Brussels and later on to France, leaving his lithographic printing office in The Hague to Abrahams. The latter succeeded in getting the enterprise off to a good start within a few years, notably by making lithographs and trading in lithographs and sheet music, the main aim of the business. From about 1823 the number of commissions for lithographs increased, with a marked improvement in the quality of his work. This resulted in an honourable mention in the second industry exhibition held in the Netherlands in the summer of 1825. During this exhibition he was appointed first lithographer at the Algemeene Landsdrukkerij! This was because the king had intervened anew in the field of lithography and persevered against the advice of his minister in having an autographic printing office there, an office that was to be closed in 1832 due to lack of work and with great losses. The Lithographic Royale subsequently stopped its activities after Abrahams had received a compensation in his salary for it. Finally, the lithographic activities in The Hague were continued by his brother, A.M. Abrahams, on a modest scale.
Gli stili APA, Harvard, Vancouver, ISO e altri
14

Pugachev, Mikhail V., Aliaksandr I. Duleba, Arslan A. Galiullin e Aleksandr Y. Kuntsevich. "Micromask Lithography for Cheap and Fast 2D Materials Microstructures Fabrication". Micromachines 12, n. 8 (21 luglio 2021): 850. http://dx.doi.org/10.3390/mi12080850.

Testo completo
Abstract (sommario):
The fast and precise fabrication of micro-devices based on single flakes of novel 2D materials and stacked heterostructures is vital for exploration of novel functionalities. In this paper, we demonstrate a fast high-resolution contact mask lithography through a simple upgrade of metallographic optical microscope. Suggested kit for the micromask lithography is compact and easily compatible with a glove box, thus being suitable for a wide range of air-unstable materials. The shadow masks could be either ordered commercially or fabricated in a laboratory using a beam lithography. The processes of the mask alignment and the resist exposure take a few minutes and provide a micrometer resolution. With the total price of the kit components around USD 200, our approach would be convenient for laboratories with the limited access to commercial lithographic systems.
Gli stili APA, Harvard, Vancouver, ISO e altri
15

Tsarik, K. A. "Focused Ion Beam Exposure of Ultrathin Electron-Beam Resist for Nanoscale Field-Effect Transistor Contacts Formation". Proceedings of Universities. Electronics 26, n. 5 (2021): 353–62. http://dx.doi.org/10.24151/1561-5405-2021-26-5-353-362.

Testo completo
Abstract (sommario):
The lithographic methods are used to form contacts for nanostructures smaller than 100 nm , in part, e-beam lithography and focused ion beam lithography with the use of electron-sensitive resist. Focused ion beam lithography is characterized by greater susceptibility to resist, high value of backward scattering, proximity effect, and best ratio of speed performance and contrast to exposed elements’ minimal size, compared to e-beam lithography. In this work, a method of ultrathin resist exposure by focused ion beam is developed. Electron-sensitive resist thickness dependence on increase of its toluene dilution was established. It was shown that electron-sensitive resist thinning down to 30 μm based on α-chloro-methacrylate with α-methylstyrene allows the 500-nm gapped metal contacts formation over a span of 30 μm. Silicon nanostructures within metallic nanoscale gap on dielectric substrate have been obtained. The geometry of obtained nanostructures was studied by optical, electron, ion, and probe microscopy. It has been established that it is possible to not use additional alignment keys when nanoscale field-effect transistors are created based on silicon nanostructures.
Gli stili APA, Harvard, Vancouver, ISO e altri
16

Yonghui Zhang, Yonghui Zhang, Zihui Zhang Zihui Zhang, Chong Geng Chong Geng, Shu Xu Shu Xu, Tongbo Wei Tongbo Wei e and Wen'gang Bi and Wen'gang Bi. "Versatile nanosphere lithography technique combining multiple-exposure nanosphere lens lithography and nanosphere template lithography". Chinese Optics Letters 15, n. 6 (2017): 062201–62205. http://dx.doi.org/10.3788/col201715.062201.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
17

Li, Mu Jun, Hui Chun Ye e Lian Guan Shen. "A Fast Method for Analyzing the Effect of Mask Error on Photolithography Pattern Quality". Advanced Materials Research 291-294 (luglio 2011): 3097–102. http://dx.doi.org/10.4028/www.scientific.net/amr.291-294.3097.

Testo completo
Abstract (sommario):
As an important factor the error of mask pattern is often ignored in the lithography simulation model. To investigate the impact of mask errors on the lithographic pattern, effects of how the wave-front on different mask pattern region affects the field points in resist is first introduced, and based on this analysis a method is proposed to quickly judge the affection of round corner error of mask pattern on the photo-resist pattern. By comparing the actual effect area and the effective wave-front area around the corner on mask pattern, the method can illustrate the quantitative relationship between variation in photo-resist pattern and the related mask error. Finally the simulation results are verified by experiments. The study results may contribute to the fast and accurate judgments of error in the lithography, and provide important theoretical basis for lithography error correction.
Gli stili APA, Harvard, Vancouver, ISO e altri
18

Diaconu-Catan, Oxana. "The value of lithography in artistic creation". Studiul artelor şi culturologie: istorie, teorie, practică, n. 1(44) (febbraio 2024): 66–73. http://dx.doi.org/10.55383/amtap.2023.1.12.

Testo completo
Abstract (sommario):
In the carried out research is highlighted the role and contribution of lithography as an original technique of multiplication, which contributed to the development of graphic art. Focusing on the presentation of the technical characteristics, the author pleads for bringing back the interest of plastic artists and graphic design students towards this technique, which offers various possibilities for creative expression. The evolution of lithography in historical circumstances is being traced, as well as its impact in artistic creation, highlighting both the advantages of lithography and its utilitarian and aesthetic role. At the same time, are mentioned the most representative plastic artists who have approached the lithographic technique in their works, which influences and helps the contemporary artist in his own work, marked by the active interaction of technology, science and art. Only by mastering the various techniques professionals will be educated with a developed visual perception and a high creative potential.
Gli stili APA, Harvard, Vancouver, ISO e altri
19

Mullen, Eleanor, e Michael A. Morris. "Green Nanofabrication Opportunities in the Semiconductor Industry: A Life Cycle Perspective". Nanomaterials 11, n. 5 (22 aprile 2021): 1085. http://dx.doi.org/10.3390/nano11051085.

Testo completo
Abstract (sommario):
The turn of the 21st century heralded in the semiconductor age alongside the Anthropocene epoch, characterised by the ever-increasing human impact on the environment. The ecological consequences of semiconductor chip manufacturing are the most predominant within the electronics industry. This is due to current reliance upon large amounts of solvents, acids and gases that have numerous toxicological impacts. Management and assessment of hazardous chemicals is complicated by trade secrets and continual rapid change in the electronic manufacturing process. Of the many subprocesses involved in chip manufacturing, lithographic processes are of particular concern. Current developments in bottom-up lithography, such as directed self-assembly (DSA) of block copolymers (BCPs), are being considered as a next-generation technology for semiconductor chip production. These nanofabrication techniques present a novel opportunity for improving the sustainability of lithography by reducing the number of processing steps, energy and chemical waste products involved. At present, to the extent of our knowledge, there is no published life cycle assessment (LCA) evaluating the environmental impact of new bottom-up lithography versus conventional lithographic techniques. Quantification of this impact is central to verifying whether these new nanofabrication routes can replace conventional deposition techniques in industry as a more environmentally friendly option.
Gli stili APA, Harvard, Vancouver, ISO e altri
20

Park, Sang Wook, Hyun Jin Yoon, Hee Young Oh, Yong Il Kim, Gi Jin Kwun e Hai Won Lee. "Synthesis of Resists Containing a Photoacid Generator Group for Atomic Force Microscope Lithography". Solid State Phenomena 121-123 (marzo 2007): 697–700. http://dx.doi.org/10.4028/www.scientific.net/ssp.121-123.697.

Testo completo
Abstract (sommario):
Photoacid generators (PAGs) have been widely used as a key component in a chemically amplified photoresist system. The PAG monomer containing an arylsulfonium triflate group was synthesized and was polymerized with benzyl methacrylates. The molecular weight and the content of PAG were controlled to improve thermal stability and sensitivity for atomic force microscope lithography. The fabrication of anodization patterns, which can be enhanced by addition of PAG unit to resist, was achieved at the low bias voltage and the high speed of AFM patterning. The physical properties of resists and lithographic factors affecting the high speed AFM lithography will be discussed.
Gli stili APA, Harvard, Vancouver, ISO e altri
21

Liu, Fan, Guo Dong Gu, Chun Hong Zeng, Hai Jun Li, Wei Wang, Bao Shun Zhang e Jin She Yuan. "Fabrication of 50nm T-Gate on GaN Substrate". Advanced Materials Research 482-484 (febbraio 2012): 2341–44. http://dx.doi.org/10.4028/www.scientific.net/amr.482-484.2341.

Testo completo
Abstract (sommario):
This paper reports New advances in e-beam lithography which have made possible the fabrication of high electron mobility transistors (HEMT) on GaN substrate with gate length well in the nanometer regime. Using PMMA/PMMA-MMA Pseudo-bilayer resists technology with electron beam lithography preparation 50nm gate length T-gate. A method of in a single lithographic step and a development step, which can be applied to simplify the process and get a more narrow gate. The ratio of head to footprint of the T gate is controllable. The way meets the need of the device fabrication.
Gli stili APA, Harvard, Vancouver, ISO e altri
22

Fallica, Roberto. "Beyond grayscale lithography: inherently three-dimensional patterning by Talbot effect". Advanced Optical Technologies 8, n. 3-4 (26 giugno 2019): 233–40. http://dx.doi.org/10.1515/aot-2019-0005.

Testo completo
Abstract (sommario):
Abstract There are a growing number of applications where three-dimensional patterning is needed for the fabrication of micro- and nanostructures. Thus far, grayscale lithography is the main technique for obtaining a thickness gradient in a resist material that is exploited for pattern transfer by anisotropic etch. However, truly three-dimensional structures can only be produced by unconventional lithography methods such as direct laser writing, focused ion beam electrodeposition, colloidal sphere lithography, and tilted multiple-pass projection lithography, but at the cost of remarkable complexity and lengthiness. In this work, the three-dimensional shape of light, which is formed by Talbot effect diffraction, was exploited to produce inherently three-dimensional patterns in a photosensitive polymer. Using light in the soft X-ray wavelength, periodic three-dimensional structures of lateral period 600 nm were obtained. The position at which the sample has to be located to be in the Fresnel regime was simulated using an analytical implementation of the Fresnel integrals approach. Exploiting the light shape forming in diffraction effects thus enables the patterning of high-resolution three-dimensional nanostructures over a large area and with a single exposure pass – which would be otherwise impossible with conventional lithographic methods.
Gli stili APA, Harvard, Vancouver, ISO e altri
23

Atthi, Nithi, Areerat Sriklad, Wutthinan Jeamsaksiri, Charndet Hruanun, Amporn Poyai e Rardchawadee Silapunt. "Trimming Lithography: An Alternative Technology for Sub-Resolution and Sub-Wavelength Patterning". ECTI Transactions on Electrical Engineering, Electronics, and Communications 10, n. 2 (10 aprile 2012): 198–207. http://dx.doi.org/10.37936/ecti-eec.2012102.170419.

Testo completo
Abstract (sommario):
Lithography is one of the key technologies for scaling down a size of integrated circuits thus increasing the performance of an electronic device. Currently, there are many lithographic techniques that are potentially capable to produce a nanometer feature size but the continuing development for a commercial use is still limited by extremely high investment especially on exposure equipment and mask. This paper introduces an alternative patterning technique called Trimming lithography as one of the strong candidates for future lithography, for producing sub-resolution and subexposure wavelength features. The pattern size can be downscaled by carefully adjusting the trim distance that is much higher than an original design linewidth. It is shown that the photoresist (PR) feature size can be scaled down with the acceptable profile to approximately 0.18 m from the original 0.8 m mask pattern with the 0.5 m resolution of the exposure tool. However, the pattern density of the line/space pattern becomes lower than that of the typical lithography. Different pattern qualities between dense and isolated patterns are probably explained by a diffraction occurring during a transmission of light through the mask slit.
Gli stili APA, Harvard, Vancouver, ISO e altri
24

Stewart, Michael D., e C. Grant Willson. "Imprint Materials for Nanoscale Devices". MRS Bulletin 30, n. 12 (dicembre 2005): 947–51. http://dx.doi.org/10.1557/mrs2005.248.

Testo completo
Abstract (sommario):
AbstractNanoimprint lithography is a potentially low-cost, high-resolution patterning technique, but most of the surrounding development work has been directed toward tool designs and processing techniques. There remains a tremendous opportunity and need to develop new materials for specific nanoimprint applications. This article provides an overview of relevant materials-related development work for nanoimprint lithographic applications. Material requirements for nanoimprint patterning for the sub-45-nm integrated-circuit regime are discussed, along with proposed nanoimprint applications such as imprintable dielectrics, conducting polymers, biocompatible materials, and materials for microfluidic devices. Polymers available for thermal nanoimprint processing and photocurable precursors for ultraviolet-assisted nanoimprint lithography are discussed.
Gli stili APA, Harvard, Vancouver, ISO e altri
25

SHEN, T. C. "ROLE OF SCANNING PROBES IN NANOELECTRONICS: A CRITICAL REVIEW". Surface Review and Letters 07, n. 05n06 (ottobre 2000): 683–88. http://dx.doi.org/10.1142/s0218625x00000695.

Testo completo
Abstract (sommario):
The continuous downsizing of electronic devices has promoted many ideas of lithography and fabrication techniques at the nanometer scale. Scanning probe lithography (SPL) has been intensively explored as a potential alternative. The conceptual development of the SPL endeavors and their basic mechanisms in the past decade are briefly reviewed. Scaling down the conventional field effect transistors below 30 nm may present enormous technical and economical challenges. Random polarization and fabrication of reproducible lateral tunneling junctions continue to be two major barriers for quantum devices. Instead of trying to compete with other projection type lithographic techniques at the nanometer scale, scanning probes are best suited to explore atom scale devices.
Gli stili APA, Harvard, Vancouver, ISO e altri
26

Voznesenskiy, Sergey, e Aleksandr Nepomnyaschiy. "Dose Characteristics of Multilayer Chitosan-Metal-Dielectric Nanostructures for Electronic Nanolithography". Solid State Phenomena 245 (ottobre 2015): 195–99. http://dx.doi.org/10.4028/www.scientific.net/ssp.245.195.

Testo completo
Abstract (sommario):
This paper presents the results of the study of chitosan-metal nanofilms for the formation of submicron structures on glass substrates by electron lithography. The dependence of their basic lithographic characteristics from the selection of the metal for intermediate layer is obtained.
Gli stili APA, Harvard, Vancouver, ISO e altri
27

Sievers, Gianni. "Learning How to Print in Colonial North India: The Nizami Press in Budaun and the First Urdu Manual on the Art of Lithography". Philological Encounters 8, n. 1 (16 marzo 2023): 73–109. http://dx.doi.org/10.1163/24519197-bja10038.

Testo completo
Abstract (sommario):
Abstract This article centers on an Urdu-language manual on lithography, published in 1924 by the Nizami Press in Budaun (United Provinces), to explore how a Muslim printer-publisher in a North Indian qaṣbah tried to reform educational methods in his trade. It introduces the Nizami Press (est. 1905) and compares the manual with similar European and Indian instructional handbooks. How did Indian printers and publishers learn their craft? What were the tools and materials used for lithographic printing in colonial India? And given the popularity of lithography, why were such manuals rarely published in Indian languages? By examining the material and technical aspects of the lithographic printing process explained in the Urdu manual, this article engages with larger scholarly debates revolving around knowledge production, pedagogy, and technological developments in South Asia. Furthermore, it analyzes the manual’s language to demonstrate how printers and publishers were engaged in discourses about nationalism, modernization, and social reform.
Gli stili APA, Harvard, Vancouver, ISO e altri
28

Borodin, B. R., F. A. Benimetskiy e P. A. Alekseev. "Mechanical frictional scanning probe lithography of TMDCs". Journal of Physics: Conference Series 2103, n. 1 (1 novembre 2021): 012090. http://dx.doi.org/10.1088/1742-6596/2103/1/012090.

Testo completo
Abstract (sommario):
Abstract In this work, we investigate mechanical scanning probe lithography (SPL) of thick MoSe2 flakes. The conventional technique faces difficulties in processing the thick samples due to cantilever twisting that leads to the growth of a number of defects and artifacts that decrease spatial resolution. In course of this work, we proposed the approach of frictional-SPL based on small pressure force and many repetitions of lithographic patterns. This approach allows to avoid the formation of remarkable defects and maintain high spatial resolution. By frictional-SPL, we processed thick MoSe2 flakes (up to 40 nm thick) with the highest resolution down to 20 nm. The results of this work show that frictional-SPL is an effective method of resistless lithography suitable for fabricating nanodevices based on transition metal dichalcogenides (TMDC) materials.
Gli stili APA, Harvard, Vancouver, ISO e altri
29

DING, Yucheng. "Next generation lithography——imprint lithography". Chinese Journal of Mechanical Engineering 43, n. 03 (2007): 1. http://dx.doi.org/10.3901/jme.2007.03.001.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
30

Nalivaiko, V. I., e M. A. Ponomareva. "Promising developments of chalcogenide nanoresists for optical, x-ray and electron beam lithography". Interexpo GEO-Siberia 8, n. 1 (18 maggio 2022): 33–36. http://dx.doi.org/10.33764/2618-981x-2022-8-1-33-36.

Testo completo
Abstract (sommario):
The results of application of combined systems chalcogenide layer - silver layer as nanoresists are presented. With the help of electron-beam lithography, elements of drawings with a size of 4-7 nm are obtained. These experimental results put chalcogenide combined systems among the most promising lithographic nanoresists.
Gli stili APA, Harvard, Vancouver, ISO e altri
31

Zhang, Yuhang, Guanghui He, Feng Zhang, Yongfu Li e Guoxing Wang. "The study of lithographic variation in resistive random access memory". Journal of Semiconductors 45, n. 5 (1 maggio 2024): 052303. http://dx.doi.org/10.1088/1674-4926/45/5/052303.

Testo completo
Abstract (sommario):
Abstract Reducing the process variation is a significant concern for resistive random access memory (RRAM). Due to its ultra-high integration density, RRAM arrays are prone to lithographic variation during the lithography process, introducing electrical variation among different RRAM devices. In this work, an optical physical verification methodology for the RRAM array is developed, and the effects of different layout parameters on important electrical characteristics are systematically investigated. The results indicate that the RRAM devices can be categorized into three clusters according to their locations and lithography environments. The read resistance is more sensitive to the locations in the array (~30%) than SET/RESET voltage (<10%). The increase in the RRAM device length and the application of the optical proximity correction technique can help to reduce the variation to less than 10%, whereas it reduces RRAM read resistance by 4×, resulting in a higher power and area consumption. As such, we provide design guidelines to minimize the electrical variation of RRAM arrays due to the lithography process.
Gli stili APA, Harvard, Vancouver, ISO e altri
32

WATT, F., A. A. BETTIOL, J. A. VAN KAN, E. J. TEO e M. B. H. BREESE. "ION BEAM LITHOGRAPHY AND NANOFABRICATION: A REVIEW". International Journal of Nanoscience 04, n. 03 (giugno 2005): 269–86. http://dx.doi.org/10.1142/s0219581x05003139.

Testo completo
Abstract (sommario):
To overcome the diffraction constraints of traditional optical lithography, the next generation lithographies (NGLs) will utilize any one or more of EUV (extreme ultraviolet), X-ray, electron or ion beam technologies to produce sub-100 nm features. Perhaps the most under-developed and under-rated is the utilization of ions for lithographic purposes. All three ion beam techniques, FIB (Focused Ion Beam), Proton Beam Writing (p-beam writing) and Ion Projection Lithography (IPL) have now breached the technologically difficult 100 nm barrier, and are now capable of fabricating structures at the nanoscale. FIB, p-beam writing and IPL have the flexibility and potential to become leading contenders as NGLs. The three ion beam techniques have widely different attributes, and as such have their own strengths, niche areas and application areas. The physical principles underlying ion beam interactions with materials are described, together with a comparison with other lithographic techniques (electron beam writing and EUV/X-ray lithography). IPL follows the traditional lines of lithography, utilizing large area masks through which a pattern is replicated in resist material which can be used to modify the near-surface properties. In IPL, the complete absence of diffraction effects coupled with ability to tailor the depth of ion penetration to suit the resist thickness or the depth of modification are prime characteristics of this technique, as is the ability to pattern a large area in a single brief irradiation exposure without any wet processing steps. p-beam writing and FIB are direct write (maskless) processes, which for a long time have been considered too slow for mass production. However, these two techniques may have some distinct advantages when used in combination with nanoimprinting and pattern transfer. FIB can produce master stamps in any material, and p-beam writing is ideal for producing three-dimensional high-aspect ratio metallic stamps of precise geometry. The transfer of large scale patterns using nanoimprinting represents a technique of high potential for the mass production of a new generation of high area, high density, low dimensional structures. Finally a cross section of applications are chosen to demonstrate the potential of these new generation ion beam nanolithographies.
Gli stili APA, Harvard, Vancouver, ISO e altri
33

de Kerkhof, Mark van, Edgar Osorio, Vladimir Krivtsun, Maxim Spiridonov, Dmitry Astakhov e Viacheslav Medvedev. "Miniature plasma source for in situ extreme ultraviolet lithographic scanner cleaning". Journal of Vacuum Science & Technology B 40, n. 2 (marzo 2022): 022601. http://dx.doi.org/10.1116/6.0001636.

Testo completo
Abstract (sommario):
Extreme ultraviolet (EUV) lithography is the technology of choice for high-volume manufacturing of sub-10nm lithography. One of the challenges is to enable in situ cleaning of functional surfaces, such as sensors, fiducials and interferometer mirrors, without opening the scanner tool. Thermally created hydrogen radicals have been successfully used for this purpose. These sources have limited cleaning speed and a relatively high thermal load to the surface being cleaned. Here, we present an alternative plasma-based technique to simultaneously create hydrogen radicals and hydrogen ions. This results in significantly improved cleaning speed while simultaneously reducing the overall thermal load. As an additional benefit, this plasma source has a minimized and flexible building volume to allow easy integration into various locations in the EUV lithographic scanner.
Gli stili APA, Harvard, Vancouver, ISO e altri
34

Kim, Kibeom, Sangkwon Han, Jinsik Yoon, Sunghoon Kwon, Hun-Kuk Park e Wook Park. "Lithographic resolution enhancement of a maskless lithography system based on a wobulation technique for flow lithography". Applied Physics Letters 109, n. 23 (5 dicembre 2016): 234101. http://dx.doi.org/10.1063/1.4967373.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
35

Heilandová, Lucie. "The First Lithographic Workshops in Brno and Early Lithography in Moravia". Acta Musei Nationalis Pragae – Historia litterarum 63, n. 3-4 (2019): 57–65. http://dx.doi.org/10.2478/amnpsc-2018-0008.

Testo completo
Abstract (sommario):
Interest in the art of lithography in Moravia already began to appear in the early 19th century. The first lithographic workshops in Moravia were established as late as in 1824, when one was founded by Johann Baptista and Adolph Trassler as well as Johann Gastl at their printing works in Brno. Both the Trasslers and Gastl considered it to be an opportunity to expand the offer of their printing works and primarily became specialised in the printing of ephemera. Their lithographic production was thus tied to commercial art and book and magazine production rather than to independent artistic production.
Gli stili APA, Harvard, Vancouver, ISO e altri
36

Berggren, Karl K. "Lithography". Nanoscale 3, n. 7 (2011): 2662. http://dx.doi.org/10.1039/c1nr90018h.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
37

Tejeda, R. O., E. G. Lovell e R. L. Engelstad. "In-Plane Gravity Loading of a Circular Membrane". Journal of Applied Mechanics 67, n. 4 (5 maggio 2000): 837–39. http://dx.doi.org/10.1115/1.1308581.

Testo completo
Abstract (sommario):
This paper develops the displacement field for a circular membrane which is statically loaded by gravity acting in its plane. Coupled to the displacements are the stress and strain distributions. The solution is applicable to the modeling of next generation lithographic masks, ion-beam projection lithography masks in particular. [S0021-8936(00)00803-5]
Gli stili APA, Harvard, Vancouver, ISO e altri
38

Fang, Bin, Jiafeng Feng, Hongxiang Wei, Xiufeng Han, Baoshun Zhang e Zhongming Zeng. "Fabrication of Spin-Transfer Nano-Oscillator by Colloidal Lithography". Journal of Nanomaterials 2015 (2015): 1–6. http://dx.doi.org/10.1155/2015/973957.

Testo completo
Abstract (sommario):
We fabricate nanoscale spin-transfer oscillators (STOs) by utilizing colloidal nanoparticles as a lithographic mask. By this approach, high quality STO devices can be fabricated, and as an example the fabricated STO devices using MgO magnetic tunnel junction as the basic cell exhibit current-induced microwave emission with a large frequency tunability of 0.22 GHz/mA. Compared to the conventional approaches that involve a step of defining nanoscale elements by means of electron beam lithography, which is not readily available for many groups, our strategy for STO fabrication does not require the sophisticated equipment (~ million dollars per unit) and expensive lithography resist, while being cost-effective and easy to use in laboratory level. This will accelerate efforts to implement STO into on-chip integrated high-radio frequency applications.
Gli stili APA, Harvard, Vancouver, ISO e altri
39

Tian, Weicheng. "Research progress of laser lithography". Journal of Physics: Conference Series 2608, n. 1 (1 ottobre 2023): 012016. http://dx.doi.org/10.1088/1742-6596/2608/1/012016.

Testo completo
Abstract (sommario):
Abstract Lithography is one of the key technologies that restrict the development of the semiconductor industry and its important role continues to be highlighted. This paper will review laser projection lithography and laser maskless lithography based on the theoretical knowledge of laser lithography, present the advantages of laser maskless lithography, discuss the latest progress of laser lithography in application fields, explore its development prospects and trends, and provide some ideas and inspiration for the further development of human laser lithography. The result shows that laser lithography can be used in the field of material processing, and lithography is one of the key technologies to fabricate semiconductor devices. The world’s most advanced lithography machine is the EUV lithography machine of the Dutch ASML. This EUV lithography machine can be used for the production of 5nm chips, so 5nm is the most advanced chip manufacturing process that EUV lithography machine can achieve. Since lithography is a high degree of composite technology, each component plays an irreplaceable role. Therefore, if further improvements are to be made to the chip process, researchers should look at all parts of the exposure system, photoresist and process technology to make them work together in harmony.
Gli stili APA, Harvard, Vancouver, ISO e altri
40

Liu, Junjun, Dong Wang, Yitan Li, Haihua Wang, Huan Chen, Qianqian Wang e Wenbing Kang. "Exceptional Lithography Sensitivity Boosted by Hexafluoroisopropanols in Photoresists". Polymers 16, n. 6 (15 marzo 2024): 825. http://dx.doi.org/10.3390/polym16060825.

Testo completo
Abstract (sommario):
Advanced lithography requires highly sensitive photoresists to improve the lithographic efficiency, and it is critical, yet challenging, to develop high-sensitivity photoresists and imaging strategies. Here, we report a novel strategy for ultra-high sensitivity using hexafluoroisopropanol (HFIP)-containing fluoropolymer photoresists. The incorporation of HFIP, with its strong electrophilic property and the electron-withdrawing effect of the fluorine atoms, significantly increases the acidity of the photoresist after exposure, enabling imaging without conventional photoacid generators (PAGs). The HFIP-containing photoresist has been evaluated by electron beam lithography to achieve a trench of ~40 nm at an extremely low dose of 3 μC/cm2, which shows a sensitivity enhancement of ~10 times compared to the commercial system involving PAGs, revealing its high sensitivity and high-resolution features. Our results demonstrate a new type of PAGs and a novel approach to higher-performance imaging beyond conventional photoresist performance tuning.
Gli stili APA, Harvard, Vancouver, ISO e altri
41

Erdmann, Andreas, David Reibold, Tim Fühner e Peter Evanschitzky. "Photomasks for Semiconductor Lithography: From Simple Shadow Casters to Complex 3D Scattering Objects". Advances in Science and Technology 55 (settembre 2008): 173–80. http://dx.doi.org/10.4028/www.scientific.net/ast.55.173.

Testo completo
Abstract (sommario):
Lithographic processes belong to the most critical steps in the fabrication of microelectronic circuits. Optical projection lithography which transfers the layout of a mask into a photoresist at the top surface of a silicon wafer is still the workhorse of semiconductor industry. Many innovations regarding the optical imaging system and the introduction of new mask technologies have pushed optical projection techniques close to their theoretical limits. Nowadays, optical projection lithography is used to create 45nm features with a wavelength of 193nm. This paper discusses the impact of the mask on the performance of a lithographic process. For large feature sizes the mask can be considered as infinitely thin and its local transmission and phase can be directly derived from the design. For mask features comparable to the wavelength of the used light and below, the mask becomes a complex scattering object which has a pronounced impact on the intensity, phase, and polarization of the diffracted light. The light diffraction from the mask has to be computed by rigorous electromagnetic methods. Several consequences with respect to the choice of most appropriate mask materials and geometries will be discussed.
Gli stili APA, Harvard, Vancouver, ISO e altri
42

Ahmad Kamal, Ahmad Syazwan, Cheng-Chieh Lin, Zhiyu Wang, Di Xing, Yang-Chun Lee, Mu-Hsin Chen, Ya-Lun Ho, Chun-Wei Chen e Jean-Jacques Delaunay. "CsPbBr3 nanocrystals plasmonic distributed Bragg reflector waveguide laser". Applied Physics Letters 122, n. 7 (13 febbraio 2023): 071104. http://dx.doi.org/10.1063/5.0128232.

Testo completo
Abstract (sommario):
The recent development of perovskite-based lasers showcased the outstanding optical properties of the material such as high absorption coefficient and high quantum yield. The lasers were demonstrated in the form of nanowires and nanoplates, which are difficult to be integrated on a chip in the form of high-density arrays due to the difficulties in positioning them on the chip. The solution to this problem should be to use the well-known lithography process in the fabrication process of the lasers. In this work, we demonstrate several perovskite-based plasmonic lasers that were fabricated by using the lithographic in-mold patterning method that relies on the electron beam lithography process. The lasers utilized CsPbBr3 perovskite nanocrystals as the gain material and plasmonic distributed Bragg reflector grating structure as the optical feedback provider to achieve a low lasing threshold of 42.5 μJ/cm2 with a linewidth of 0.6 nm (FWHM) at room temperature. The use of the lithographic process in the fabrication of the lasers makes it possible to fabricate and integrate them on a chip in a relatively high-density manner, so that they can be used extensively in quantum optics and on-chip integrated photonics applications.
Gli stili APA, Harvard, Vancouver, ISO e altri
43

Domonkos, Mária, Pavel Demo e Alexander Kromka. "Nanosphere Lithography for Structuring Polycrystalline Diamond Films". Crystals 10, n. 2 (14 febbraio 2020): 118. http://dx.doi.org/10.3390/cryst10020118.

Testo completo
Abstract (sommario):
This paper deals with the structuring of polycrystalline diamond thin films using the technique of nanosphere lithography. The presented multistep approaches relied on a spin-coated self-assembled monolayer of polystyrene spheres, which served as a lithographic mask for the further custom nanofabrication steps. Various arrays of diamond nanostructures—close-packed and non-close-packed monolayers over substrates with various levels of surface roughness, noble metal films over nanosphere arrays, ordered arrays of holes, and unordered pores—were created using reactive ion etching, chemical vapour deposition, metallization, and/or lift-off processes. The size and shape of the lithographic mask was altered using oxygen plasma etching. The periodicity of the final structure was defined by the initial diameter of the spheres. The surface morphology of the samples was characterized using scanning electron microscopy. The advantages and limitations of the fabrication technique are discussed. Finally, the potential applications (e.g., photonics, plasmonics) of the obtained nanostructures are reviewed.
Gli stili APA, Harvard, Vancouver, ISO e altri
44

Вознюк, Г. В., И. Н. Григоренко, М. И. Митрофанов, В. В. Николаев e В. П. Евтихиев. "Субволновые текстурированные поверхности для вывода излучения из волновода". Письма в журнал технической физики 48, n. 6 (2022): 51. http://dx.doi.org/10.21883/pjtf.2022.06.52214.19103.

Testo completo
Abstract (sommario):
A technology for creating textured surfaces by focused-beam ion-beam etching on GaAs (100) substrates is demonstrated. The possibility of flexible control of the shape and profile of the formed submicron elements of textured media is shown. It will make possible to create textured surfaces of almost any complexity for the implementation of surface output of radiation from a waveguide. Original lithographic templates were developed and three-dimensional lithography was carried out. The control of the formed lithographic patterns was carried out by the methods of optical, electron and atomic force microscopy.
Gli stili APA, Harvard, Vancouver, ISO e altri
45

TEO, SELIN H. G., A. Q. LIU, G. L. SIA, C. LU, J. SINGH, M. B. YU e H. Q. SUN. "DEEP UV LITHOGRAPHY FOR PILLAR TYPE NANOPHOTONIC CRYSTAL". International Journal of Nanoscience 04, n. 04 (agosto 2005): 559–66. http://dx.doi.org/10.1142/s0219581x05003577.

Testo completo
Abstract (sommario):
The progress made to resolve challenges met in using deep UV lithography for batch fabrication of pillar type nano-photonic crystals is described, using data from experiments carried out based on two degrees, full factorial design of experiments and subsequently processed using variance analysis. A binary mask without phase shift features was used to obtain information on effects of lithographic parameters such as antireflection coatings, resist preparation recipes, exposure latitude and depth of focus biases. The results derived hence enabled successful derivations of high quality arrays of dense nano-pillars.
Gli stili APA, Harvard, Vancouver, ISO e altri
46

Bin Yu, Bin Yu, Wei Jia Wei Jia, Changhe Zhou Changhe Zhou, Hongchao Cao Hongchao Cao e Wenting Sun Wenting Sun. "Grating imaging scanning lithography". Chinese Optics Letters 11, n. 8 (2013): 080501–80503. http://dx.doi.org/10.3788/col201311.080501.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
47

Lin, Jian-Shian, Chieh-Lung Lai, Ya-Chun Tu, Cheng-Hua Wu e Yoshimi Takeuchi. "A Uniform Pressure Apparatus for Micro/Nanoimprint Lithography Equipment". International Journal of Automation Technology 3, n. 1 (5 gennaio 2009): 84–88. http://dx.doi.org/10.20965/ijat.2009.p0084.

Testo completo
Abstract (sommario):
Nanoimprint lithography (NIL) has overcome the limitation of light diffraction. It is capable of printing features less than 10nm in size with high lithographic resolution, high manufacturing speed, and low production cost. The uniformity of pressure, however, remains a critical issue. To improve the uniformity of pressure, we developed a flexible uniform pressure component based on Pascal's Law. When external force is applied to this component, uniform pressure is delivered to the mold and substrate. Average pressure over the embossed area using our improved nanoimprint equipment deviates by only 3.15%.
Gli stili APA, Harvard, Vancouver, ISO e altri
48

Lee, Su Yong, Do Young Noh, Hae Cheol Lee, Chung-Jong Yu, Yeukuang Hwu e Hyon Chol Kang. "Direct-write X-ray lithography using a hard X-ray Fresnel zone plate". Journal of Synchrotron Radiation 22, n. 3 (2 aprile 2015): 781–85. http://dx.doi.org/10.1107/s1600577515003306.

Testo completo
Abstract (sommario):
Results are reported of direct-write X-ray lithography using a hard X-ray beam focused by a Fresnel zone plate with an outermost zone width of 40 nm. An X-ray beam at 7.5 keV focused to a nano-spot was employed to write arbitrary patterns on a photoresist thin film with a resolution better than 25 nm. The resulting pattern dimension depended significantly on the kind of underlying substrate, which was attributed to the lateral spread of electrons generated during X-ray irradiation. The proximity effect originated from the diffuse scattering near the focus and electron blur was also observed, which led to an increase in pattern dimension. Since focusing hard X-rays to below a 10 nm spot is currently available, the direct-write hard X-ray lithography developed in this work has the potential to be a promising future lithographic method.
Gli stili APA, Harvard, Vancouver, ISO e altri
49

Hruby, Jill. "LIGA Technologies and Applications". MRS Bulletin 26, n. 4 (aprile 2001): 337–40. http://dx.doi.org/10.1557/mrs2001.76.

Testo completo
Abstract (sommario):
LIGA, an acronym for the German words for lithography, electroplating, and molding, is a technique used to produce micro-electromechanical systems (MEMS) made from metals, ceramics, or plastics. The LIGA process utilizes x-ray synchrotron radiation as a lithographic light source. Highly collimated, high-energy x-rays from the synchrotron impinge on a patterned mask in proximity to an x-ray-sensitive photoresist, typically poly(methyl methacrylate) (PMMA).
Gli stili APA, Harvard, Vancouver, ISO e altri
50

OKAZAKI, SHINJI. "CURRENT ISSUES AND FUTURE PROSPECTS OF LITHOGRAPHY". International Journal of High Speed Electronics and Systems 16, n. 01 (marzo 2006): 375–87. http://dx.doi.org/10.1142/s0129156406003709.

Testo completo
Abstract (sommario):
The resolution limit of optical lithography now looms on the horizon. We are using very complicated masks and sophisticated exposure tools with very low k1 factors. To deal with this situation, liquid immersion lithography is now under intensive development. It can extend the application of the optical lithography for one or two generation, but the k1 factor remains very small. To obtain a significantly larger k1 value, we shall move to the non-optical lithography techniques. EUV lithography is the strongest candidate. Many problems of EUV still remain though we have remarkable achievements recently. In addition to the mass production technology, methods such as maskless lithography (ML2) and nano-imprint lithography were also developed for small-scale production and some other applications.
Gli stili APA, Harvard, Vancouver, ISO e altri
Offriamo sconti su tutti i piani premium per gli autori le cui opere sono incluse in raccolte letterarie tematiche. Contattaci per ottenere un codice promozionale unico!

Vai alla bibliografia