Letteratura scientifica selezionata sul tema "Lithography"

Cita una fonte nei formati APA, MLA, Chicago, Harvard e in molti altri stili

Scegli il tipo di fonte:

Consulta la lista di attuali articoli, libri, tesi, atti di convegni e altre fonti scientifiche attinenti al tema "Lithography".

Accanto a ogni fonte nell'elenco di riferimenti c'è un pulsante "Aggiungi alla bibliografia". Premilo e genereremo automaticamente la citazione bibliografica dell'opera scelta nello stile citazionale di cui hai bisogno: APA, MLA, Harvard, Chicago, Vancouver ecc.

Puoi anche scaricare il testo completo della pubblicazione scientifica nel formato .pdf e leggere online l'abstract (il sommario) dell'opera se è presente nei metadati.

Articoli di riviste sul tema "Lithography"

1

Vandаlovskyi, V. "Artistic and technical features of the lithographic manner mixed technique". Research and methodological works of the National Academy of Visual Arts and Architecture, n. 27 (27 febbraio 2019): 92–98. http://dx.doi.org/10.33838/naoma.27.2018.92-98.

Testo completo
Abstract (sommario):
Nowadays the problem of improving the artistic and technical features of the lithographic manner of mixed technique has matured already. The author of this study expanded and supplemented the ways of combining a variety of manners of lithographic techniques through practical experiments to achieve positive results in this area. Mixed technique is one of the types of lithography, in which a certain combination of lithographic manners engraving on stone with pencil, blurring ink, root paper, color lithography is used on one stone depending on the intent of the author, his artistic taste and possession of a large number of techniques in lithography, such as shading, sketching, blurring ink, pen, prints of cloth and other textures and the like. Lithography got the greatest spread in France, the gifted artists on stone included T. Géricault, Antoine-Jean Gros, Claude Joseph Vernet, Nicolas-Toussaint Charlet, O. Raffet, Ferdinand Victor Eugène Delacroix, Louis-Léopold Boilly, Paul Gavarni, Henri Grévedon, A. Toulouse-Lautrec and many others. Famous Ukrainian artists, namely M. Deregus, M. Popov, S. Yakutovich, and others worked in lithographic mixed technique. In particular, N. Popov in the creation of graphic works used the author's manner of execution of lithographs – drawing with acid. In artistic creativity to the main lithographic technique artists add elements of other graphic techniques: combine with etching, woodcut, monotype and other techniques. The program of teaching lithography in National Academy of Fine Arts and Architecture in methodical terms is designed so that the student of the specialty "Free graphics, design and illustration of the book", mastering lithographic technique and getting acquainted with her manners, could be able to do on this basis a mixed lithographic technique. Mixed technique is the final task, in which the student is given the opportunity to choose and combine the manners of lithography. Senior students improve their knowledge in the field of technical and technological capabilities of lithography. Due to the rich, original technique lithography has unlimited visual possibilities. It met the requirements of different artists, despite the difference in styles, language and artistic techniques. Lithography makes it possible to solve the composition in black and white, dashed, tonal, color techniques through the use of different manners.
Gli stili APA, Harvard, Vancouver, ISO e altri
2

Kwon, B., e Jong H. Kim. "Importance of Molds for Nanoimprint Lithography: Hard, Soft, and Hybrid Molds". Journal of Nanoscience 2016 (22 giugno 2016): 1–12. http://dx.doi.org/10.1155/2016/6571297.

Testo completo
Abstract (sommario):
Nanoimprint lithography has attracted considerable attention in academic and industrial fields as one of the most prominent lithographic techniques for the fabrication of the nanoscale devices. Effectively controllable shapes of fabricated elements, extremely high resolution, and cost-effectiveness of this especial lithographic system have shown unlimited potential to be utilized for practical applications. In the past decade, many different lithographic techniques have been developed such as electron beam lithography, photolithography, and nanoimprint lithography. Among them, nanoimprint lithography has proven to have not only various advantages that other lithographic techniques have but also potential to minimize the limitations of current lithographic techniques. In this review, we summarize current lithography techniques and, furthermore, investigate the nanoimprint lithography in detail in particular focusing on the types of molds. Nanoimprint lithography can be categorized into three different techniques (hard-mold, soft-mold, and hybrid nanoimprint) depending upon the molds for imprint with different advantages and disadvantages. With numerous studies and improvements, nanoimprint lithography has shown great potential which maximizes its effectiveness in patterning by minimizing its limitations. This technique will surely be the next generation lithographic technique which will open the new paradigm for the patterning and fabrication in nanoscale devices in industry.
Gli stili APA, Harvard, Vancouver, ISO e altri
3

Wen, Zaoxia, Xingyu Liu, Wenxiu Chen, Ruolin Zhou, Hao Wu, Yongmei Xia e Lianbin Wu. "Progress in Polyhedral Oligomeric Silsesquioxane (POSS) Photoresists: A Comprehensive Review across Lithographic Systems". Polymers 16, n. 6 (19 marzo 2024): 846. http://dx.doi.org/10.3390/polym16060846.

Testo completo
Abstract (sommario):
This paper offers a comprehensive overview of the polyhedral oligomeric silsesquioxane (POSS) and POSS-based composites within the realm of photoresist resin. The study involves a systematic exploration and discussion of the contributions made by POSS across various lithographic systems, with specific emphasis on critical parameters such as film formation, sensitivity, resolution, solubility, and edge roughness. These lithographic systems encompass X-ray lithography (XRL), deep ultraviolet nanoimprint lithography (DUV-NIL), extreme ultraviolet lithography (EUV), and guided self-assembled lithography (DSA). The principal objective of this paper is to furnish valuable insights into the development and utilization of POSS-based photoresist materials in diverse lithographic contexts.
Gli stili APA, Harvard, Vancouver, ISO e altri
4

Lund, Sarah E. "Fossils: Lithography’s Porous Time and Eugène Delacroix’s Faust Marginalia". Nineteenth Century Studies 35 (novembre 2023): 1–32. http://dx.doi.org/10.5325/ninecentstud.35.0001.

Testo completo
Abstract (sommario):
Abstract The new printing technique of lithography, which flourished in the early nineteenth century, has been examined for its connections to Romantic ideals of artistic subjectivity, to the liberal press, and to a boom in visual media. This article centers lithography’s unique materiality to investigate the significance of its new technique—its use of limestone—that establishes compelling connections to natural history and new conceptions of time. Eugène Delacroix’s (1798–1863) unruly marginalia, which populate the borders of the first printer’s proofs of his 1828 lithographic illustrations of Johann von Goethe’s (1749–1832) Faust, serve as a case study. Drawn on and printed from lithographic limestone, the marginalia can be interpreted as fossils. This article examines how lithography facilitated new conceptions of history, time, and memory that provided grounds for a Romantic artist like Delacroix to blur the boundaries between the human and the earthly, the artificial and the natural, the ephemeral and the historic, to find within the liminal the production and reproduction of transgressive forms that persisted throughout his artistic oeuvre.
Gli stili APA, Harvard, Vancouver, ISO e altri
5

Prakoso, Emmanuel Putro, Inovensius Hugo Bima Wicaksana, Nick Soedarso e Rina Carina. "TEKNIK CETAK DATAR KITCHEN LITHOGRAPY SEBAGAI MEDIA EKSPRESI DESAIN PADA METODE REPRODUKSI GRAFIKA". Jurnal Dimensi DKV Seni Rupa dan Desain 4, n. 2 (1 ottobre 2019): 155. http://dx.doi.org/10.25105/jdd.v4i2.5888.

Testo completo
Abstract (sommario):
<p>Abstract</p><p><br />The Kitchen Lithography Flat Printing Technique as Design Expression Media on Graphic Reproduction Method. The lack of media innovation in lithography flat printing techniques has resulted in the process being identical to the use of limestone stones as a reference for images, while the existence of these stones is quite difficult to obtainand quite rare in Indonesia. This has resulted in fewer and fewer artists and designers<br />who are using the lithography technique in the design world. The use of aluminum foilpaper which can be reacted with certain coloring agents, raises the idea to apply the new lithography technique on aluminum foil paper media known as kitchen lithograpytechnique. This study focused on the experimental stage and exploration of aluminumfoil paper kitchen lithograpy on flat printing techniques as a design expression media.<br />The resulf of this rescach is this technigue recommended as espression media on graphic reproduction method because of not using hazardous metenal and the production process is more effizient and easy<br /><br /><strong>Abstrak</strong><br />Teknik Cetak Datar Kitchen Lithograpy sebagai Media Ekspresi Desain pada Metode Reproduksi Grafika. Kurangnya inovasi media pada teknik cetak datar lithography mengakibatkan dalam prosesnya hanya identik dengan penggunaan batu limestone saja sebagai acuan gambar, sedangkan keberadaan batu tersebut cukup sulit didapatkan dan cukup langka di Indonesia. Hal ini berdampak pada semakin sedikitnya<br />seniman dan desainer yang berkespresi mengunakan teknik lithography ini dalam dunia desain. Penggunaan kertas alumunium foil yang dapat direaksikan dengan zat pewarna tertentu, menimbulkan gagasan untuk menerapkan teknik baru lithography pada media kertas alumunium foil yang dikenal dengan istilah teknik kitchen lithograpy. Penelitian ini difokuskan pada tahap eksperimen dan eksplorasi kertas alu munium foil kitchen lithograpy pada teknik cetak datar sebagai media berekspresi desain. Hasil penelitian ini mengungkapkan bahwa teknik ini layak sebagai media ekspresi desain pada metode reproduksi grafika karena tidak menggunakan zat-zat berbahaya, serta penggunaan bahan dan alat lebih mudah dan efisien.</p>
Gli stili APA, Harvard, Vancouver, ISO e altri
6

Wu, Yu, e Zihao Xiao. "The Recent Progress of Lithography Machine and the State-of-art Facilities". Highlights in Science, Engineering and Technology 5 (7 luglio 2022): 155–65. http://dx.doi.org/10.54097/hset.v5i.737.

Testo completo
Abstract (sommario):
With the rapid development of industrial intelligent manufacturing and electronic information technology, the importance of integrated circuits has grown fast. Photolithography, as the core technology of integrated circuit industry, has become a key research target for researchers all over the world. In this paper, we provide a brief introduction to photolithography as well as an outlook on the future development direction. Firstly, the key metric of lithography system, which is resolution, and how it relates to lithographic performance is analyzed. Secondly, some exposure methods developed on UV and DUV light sources are discussed, which are commonly used in the industry nowadays. Subsequently, this paper presents the structure and performance of some representative lithography equipment. Then, some summarizations are completed about the most recent advances in EUV lithography and high NA lithography. Finally, we examine the limitations of current lithography and forecast the future of lithography. The goal of this paper is to provide a guide on lithography equipment, particularly the most advanced products available nowadays. Additionally, some potential challenges that photolithography may face in its future development are highlighted, and some perspectives on how photolithography will evolve over the next decade are provided. These results shed light on guiding the future development direction of lithography machine as well as ways to push Moore’s law even further.
Gli stili APA, Harvard, Vancouver, ISO e altri
7

Voznyuk G. V., Grigorenko I. N., Mitrofanov M. I., Nikolaev V. V. e Evtikhiev V. P. "Subwave textured surfaces for the radiation coupling from the waveguide". Technical Physics Letters 48, n. 3 (2022): 76. http://dx.doi.org/10.21883/tpl.2022.03.52896.19103.

Testo completo
Abstract (sommario):
The paper presents a procedure for creating on GaAs(100) substrates textured surfaces by ion-beam etching with a focused beam. The possibility of flexibly controlling the shape and profile of the formed submicron elements of textured media is shown; this will later allow formation of textured surfaces of almost any complexity for realizing the surface radiation coupling from the waveguide. Original lithographic masks were developed, and 3D lithography was accomplished. The obtained lithographic patterns were controlled by the methods of optical, electron and atomic force microscopy. Keywords: ion-beam etching, metasurface, textured surface, lithography, surface coupling of radiation.
Gli stili APA, Harvard, Vancouver, ISO e altri
8

Sharma, Ekta, Reena Rathi, Jaya Misharwal, Bhavya Sinhmar, Suman Kumari, Jasvir Dalal e Anand Kumar. "Evolution in Lithography Techniques: Microlithography to Nanolithography". Nanomaterials 12, n. 16 (11 agosto 2022): 2754. http://dx.doi.org/10.3390/nano12162754.

Testo completo
Abstract (sommario):
In this era, electronic devices such as mobile phones, computers, laptops, sensors, and many more have become a necessity in healthcare, for a pleasant lifestyle, and for carrying out tasks quickly and easily. Different types of temperature sensors, biosensors, photosensors, etc., have been developed to meet the necessities of people. All these devices have chips inside them fabricated using diodes, transistors, logic gates, and ICs. The patterning of the substrate which is used for the further development of these devices is done with the help of a technique known as lithography. In the present work, we have carried out a review on different types of lithographic techniques such as optical lithography, extreme ultraviolet lithography, electron beam lithography, X-ray lithography, and ion beam lithography. The evolution of these techniques with time and their application in device fabrication are discussed. The different exposure tools developed in the past decade to enhance the resolution of these devices are also discussed. Chemically amplified and non-chemically amplified resists with their bonding and thickness are discussed. Mask and maskless lithography techniques are discussed along with their merits and demerits. Device fabrication at micro and nano scale has been discussed. Advancements that can be made to improve the performance of these techniques are also suggested.
Gli stili APA, Harvard, Vancouver, ISO e altri
9

Zhang, Zhen. "Optimization of Triple Periodic Bilayer Stacks and Aerial Image Performance Analysis". International Journal of Electronics and Electrical Engineering 8, n. 3 (settembre 2020): 53–57. http://dx.doi.org/10.18178/ijeee.8.3.53-57.

Testo completo
Abstract (sommario):
EUV lithography uses 13.5 nm wavelength incident light. For all materials, the absorption of EUV wavelength cannot be neglected. Therefore, EUV lithography system employs a reflective system. In order to to increase the reflectivity rate, We optimize triple periodic bilayer stacks for 13.5 nm EUV- lithography with a 4 × demagnification and an Numerical Aperture (NA) of 0.5. The optimization is performed using multi-objective Genetic Algorithms (GA). Selected bilayer stacks are further investigated by adding a 9.5 nm dense line absorber. The aerial images are calculated and the lithographic performance of these mask designs are evaluated in terms of threshold, NILS, EL and DOF.
Gli stili APA, Harvard, Vancouver, ISO e altri
10

Seo, Manseung, Haeryung Kim e Masahiko Onosato. "Lithography Using a Microelectronic Mask". Journal of Robotics and Mechatronics 18, n. 6 (20 dicembre 2006): 816–23. http://dx.doi.org/10.20965/jrm.2006.p0816.

Testo completo
Abstract (sommario):
In the strategy we propose for lithography using a microelectronic mask, the overlay intensity basis is defined taking into account instantaneous distributions of optical energy through the microelectronic mask from a micromirror onto a scrolling substrate. The microelectronic mask involves transfer of patterns as optical energy. We implemented a prototype lithography simulation system for generating lithographic data and predicting optomechatronic results. To ensure feasibility, we conducted lithography using a microelectronic mask on prototype equipment to fabricate actual wafers parallel to simulation. Results of simulation and experiments confirmed consistency both physically and mathematically. The appropriateness of the devised method, the precision of the implemented system, and the capability of pattern size control adjusting the occupancy limit without data modification have thus been confirmed.
Gli stili APA, Harvard, Vancouver, ISO e altri

Tesi sul tema "Lithography"

1

Benoit-Renault, Viviane. "La lithographie en Bretagne (1819-1914)". Thesis, Paris 4, 2014. http://www.theses.fr/2014PA040217.

Testo completo
Abstract (sommario):
Dans l’histoire de l’estampe, l’étude de la lithographie en province a longtemps été négligée et les premierstravaux fondateurs datent seulement d’une quarantaine d’années. L’objet de cette thèse en histoire de l’art est decombler cette lacune en analysant, dans un esprit d’interdisciplinarité ouvert à l’histoire économique et sociale, lalithographie en Bretagne historique de 1819 à 1914.Cette recherche s’appuie d’abord sur l’étude de l’imprimerie lithographique. Après un panorama généralsur l’évolution du nombre d’ateliers et leur répartition géographique, les centres lithographiques principaux etsecondaires sont étudiés. L’imprimerie autographique qui, en parallèle, s’implante et concurrence les atelierslithographiques est analysée, comme les imprimeries lithographiques sur fer-blanc, une particularité bretonne.Au-delà de cette étude historique, le chapitre suivant dresse le portrait des acteurs de l’imprimerie et de laproduction lithographique comme leur environnement social. C’est un monde où se mêlent l’artiste peintre,l’artiste lithographe de profession, l’amateur de dessin, l’imprimeur et l’ouvrier. La réalité du monde de l’estampeest abordée dans le troisième chapitre, avec un coup de projecteur sur l’atelier et sur l’histoire matérielle de lalithographie (presses et matrices). L’atelier est ensuite appréhendé comme un espace de vie autonome avec sesfêtes et ses codes, garants de la cohésion ouvrière. Une unité renforcée avec la création des syndicats delithographes dans la seconde moitié du XIXe siècle.Grâce à l’établissement d’un inventaire des lithographies, fondé sur le dépouillement du dépôt légal etdes fonds publics d’estampes, l’analyse de la production lithographique imprimée en Bretagne révèle unediversité thématique insoupçonnée. La lithographie artistique à la feuille, en recueils ou en albums illustrés estanalysée sous l’angle stylistique et iconographique. Enfin, l’étude de la lithographie utilitaire et des boîtes en ferblanclithographié clôt ce chapitre. Le commerce de l’estampe, qui constitue le dernier maillon du circuit de laproduction, est retracé avec ses marchands, une profession en mutation au XIXe siècle, ses colporteurs et autresvendeurs occasionnels. Enfin, la question de la diffusion de l’estampe en Bretagne est abordée comme le marchéet la place de la lithographie bretonne dans un réseau national et international
In the history of print, the study of lithography in province has long been neglected. The first founding worksonly date back to the last forty years. The purpose of this thesis on the history of art is to address this shortcomingby analysing lithography in historical Brittany between 1819 and 1914 bearing an interdisciplinarity mind open toeconomic and social history.Initially this research will be based on the study of lithographic printing. Following a general overviewon the evolution of the number of workshops and their geographical breakdown, leading and secondarylithographic centres are being considered. On the other hand, autographic printing which established itself andcame into competition with the lithographic workshops is being analysed with an emphasis on tin-plate printingworkshops being a characteristic feature of Brittany. Beyond this historical study, the following chapter paints aportrait of the printers and that of the lithographic production scene which being a social environment. It is aworld whereby the painter mingles with the professional lithographer, the drawing lover, the printer and thecraftsman. The reality of the printing world is being addressed in the third chapter with a particular focus on theworkshop and the history of the equipment specific to printing (plates and moulds). Subsequently the workshop isseen as a world in its own right with its celebrations and codes responsible for the working cohesion. This unitywill be accentuated in the second half of the XIX century with the constitution of lithographers unions. Thanksto the creation of a lithographs inventory drawn upon the austerity regarding registration of copyright and printpublic funds, the analysis of the print production in Brittany reveals an unexpected thematic diversity. The artisticprint on sheet, collections or illustrated albums is analysed from a stylistic and iconographic point of view.Finally, the study of useful lithography and the tin-box will bring this chapter to a close.Print trade which formsthe last link in the production chain is recounted through its merchants, a trade being transformed throughout XIXcentury, door-to-door and casual sellers. Lastly, the topic of the diffusion of print in Brittany is put forward asbeing the market place of Breton lithography within a national and international network
Gli stili APA, Harvard, Vancouver, ISO e altri
2

Hauser, Hubert [Verfasser], e Holger [Akademischer Betreuer] Reinecke. "Nanoimprint lithography for solar cell texturisation = Nanoimprint Lithographie fuer die Solarzellentexturierung". Freiburg : Universität, 2013. http://d-nb.info/1123476160/34.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
3

Zheng, Zijian. "Soft lithography and nanoimprint lithography for applications in polymer electronics". Thesis, University of Cambridge, 2007. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.613415.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
4

Kandulski, Witold. "Shadow nanosphere lithography". [S.l.] : [s.n.], 2007. http://deposit.ddb.de/cgi-bin/dokserv?idn=985533013.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
5

Musgraves, J. David. "Maskless Projection Lithography". Scholarship @ Claremont, 2003. http://scholarship.claremont.edu/pomona_theses/17.

Testo completo
Abstract (sommario):
Photolithography is a key element of the modem integrated circuit process. It is photolithography, combined with metal deposition, that allows a three dimensional circuit to be built up on a two dimensional surface. Since it is such an important part of the semiconductor manufacturing industry, a massive base of research in this area already exists. The problem with this pre-existing research is that it is geared solely toward industrial purposes, as opposed to more academic research areas. The goal of my research is to move this industrial process into the academic setting of Pomom College.
Gli stili APA, Harvard, Vancouver, ISO e altri
6

Schmidt, Aaron Jerome 1979. "Contact thermal lithography". Thesis, Massachusetts Institute of Technology, 2004. http://hdl.handle.net/1721.1/27116.

Testo completo
Abstract (sommario):
Thesis (S.M.)--Massachusetts Institute of Technology, Dept. of Mechanical Engineering, 2004.
Includes bibliographical references (p. 65-67).
Contact thermal lithography is a method for fabricating microscale patterns using heat transfer. In contrast to photolithography, where the minimum achievable feature size is proportional to the wavelength of light used in the exposure process, thermal lithography is limited by a thermal diffusion length scale and the geometry of the situation. In this thesis the basic principles of thermal lithography are presented. A traditional chrome-glass photomask is brought into contact with a wafer coated with a thermally sensitive polymer. The mask-wafer combination is flashed briefly with high intensity light, causing the chrome features heat up and conduct heat locally to the polymer, transferring a pattern. Analytic and finite element models are presented to analyze the heating process and select appropriate geometries and heating times. In addition, an experimental version of a contact thermal lithography system has been constructed and tested. Early results from this system are presented, along with plans for future development.
by Aaron Jerome Schmidt.
S.M.
Gli stili APA, Harvard, Vancouver, ISO e altri
7

Brodsky, Colin John. "Graft polymerization lithography". Access restricted to users with UT Austin EID Full text (PDF) from UMI/Dissertation Abstracts International, 2001. http://wwwlib.umi.com/cr/utexas/fullcit?p3024998.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
8

Baker, Mark. "Metastable Atom Lithography". Thesis, Griffith University, 2008. http://hdl.handle.net/10072/365477.

Testo completo
Abstract (sommario):
This thesis describes the development of a rare gas metastable atomic beam apparatus, and its application to atom lithography. The principal component of the apparatus is the supersonic DC discharge source. The source parameters, such as operating pressure, skimmer distance, discharge current and nozzle shape were optimised to generate a bright beam of excited state metastable neon and argon, with typical flux of 5×10¹? atoms sr?¹ and 3×10¹? atoms sr?¹ respectively. This apparatus was used to investigate the pattern formation of self assembled monolayer (SAM) resists prepared on Au/Si samples exposed to metastable beams of Ar* and Ne*, through microfabricated contact masks. Positive and negative tone patterning was observed, with supporting XPS analysis attributing the negative tone resists to contamination from pump oil vapour. The formation of negative tone contamination resists by the metastable neon beam was applied to the generation of micrometer sized Fe structures using contact masks. A 3-step etch process was developed and refined, resulting in 7.5µm Fe microdot structures on a Si substrate. A bright transverse and longitudinally cooled and collimated metastable neon beam source for atom lithography was developed. The transverse atomic beam collimation stage produced a collimated beam flux of of 1.4×10¹? s?¹, with a divergence of 22.8 mrad. Axial slowing of the atomic beam was demonstrated with the development of a Zeeman slower. Numerical simulations were undertaken to calculate the motion of metastable neon atoms in a one-dimensional standing wave light field mask. The simulations show the dynamics and atom distributions for the focusing regime (low power) and channeling regime (high power). Future refinements of the apparatus should allow the realisation of nanofabricated structures utilising optical masking techniques.
Thesis (PhD Doctorate)
Doctor of Philosophy (PhD)
School of Biomolecular and Physical Sciences
Faculty of Science
Full Text
Gli stili APA, Harvard, Vancouver, ISO e altri
9

Park, Jea Woo. "Lithography Hotspot Detection". PDXScholar, 2017. https://pdxscholar.library.pdx.edu/open_access_etds/3781.

Testo completo
Abstract (sommario):
The lithography process for chip manufacturing has been playing a critical role in keeping Moor's law alive. Even though the wavelength used for the process is bigger than actual device feature size, which makes it difficult to transfer layout patterns from the mask to wafer, lithographers have developed a various technique such as Resolution Enhancement Techniques (RETs), Multi-patterning, and Optical Proximity Correction (OPC) to overcome the sub-wavelength lithography gap. However, as feature size in chip design scales down further to a point where manufacturing constraints must be applied to early design phase before generating physical design layout. Design for Manufacturing (DFM) is not optional anymore these days. In terms of the lithography process, circuit designer should consider making their design as litho-friendly as possible. Lithography hotspot is a place where it is susceptible to have fatal pinching (open circuit) or bridging (short circuit) error due to poor printability of certain patterns in a design layout. To avoid undesirable patterns in layout, it is mandatory to find hotspots in early design stage. One way to find hotspots is to run lithography simulation on a layout. However, lithography simulation is too computationally expensive for full-chip design. Therefore, there have been suggestions such as pattern matching and machine learning (ML) technique for an alternative and practical hotspot detection method. Pattern matching is fast and accurate. Large hotspot pattern library is utilized to find hotspots. Its drawback is that it can not detect hotspots that are unseen before. On contrast, ML is effective to find previously unseen hotspots, but it may produce false positives. This research presents a novel geometric pattern matching methodology using edge driven dissected rectangles and litho award machine learning for hotspot detection. 1. Edge Driven Dissected Rectangles (EDDR) based pattern matching EDDR pattern matching employs member concept inside a pattern bounding box. Unlike the previous pattern matching, the idea proposed in this thesis uses simple Design Rule Check (DRC) operations to create member rectangles for pattern matching. Our approach shows significant speedup against a state-of-art commercial pattern matching tool as well as other methods. Due to its simple DRC edge operation rules, it is flexible for fuzzy pattern match and partial pattern match, which enable us to check previously unseen hotspots as well as the exact pattern match. 2. Litho-aware Machine Learning A new methodology for machine learning (ML)-based hotspot detection harnesses lithography information to build SVM (Support Vector Machine) during its learning process. Unlike the previous research that uses only geometric information or requires a post-OPC (Optical Proximity Correction) mask, our method utilizes detailed optical information but bypasses post-OPC mask by sampling latent image intensity and use those points to train an SVM model. Our lithography-aware machine learning guides learning process using actual lithography information combined with lithography domain knowledge. While the previous works for SVM modeling to identify hotspots have used only geometric related information, which is not directly relevant to the lithographic process, our SVM model was trained with lithographic information which has a direct impact on causing pinching or bridging hotspots. Furthermore, rather than creating a monolithic SVM trying to cover all hotspot patterns, we utilized lithography domain knowledge and separated hotspot types such as HB(Horizontal Bridging), VB (Vertical Bridging), HP(Horizontal Pinching), and VP(Vertical Pinching) for our SVM model. Out results demonstrated high accuracy and low false alarm, and faster runtime compared with methods that require a post-OPC mask. We also showed the importance of lithography domain knowledge to train ML for hotspot detection.
Gli stili APA, Harvard, Vancouver, ISO e altri
10

Meyers, Bernard C. "Nagual interpretations /". Online version of thesis, 1990. http://hdl.handle.net/1850/10953.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri

Libri sul tema "Lithography"

1

Landis, Stefan, a cura di. Lithography. Hoboken, NJ USA: John Wiley & Sons, Inc., 2013. http://dx.doi.org/10.1002/9781118557662.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
2

Shappo, Aleksandr. Lithography. Minsk]: Shappo.org, 2016.

Cerca il testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
3

Brighton, University Of. Lithography. Brighton: University of Brighton, 1993.

Cerca il testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
4

Sotomayor Torres, Clivia M., a cura di. Alternative Lithography. Boston, MA: Springer US, 2003. http://dx.doi.org/10.1007/978-1-4419-9204-8.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
5

Stampfl, Jürgen, Robert Liska e Aleksandr Ovsianikov, a cura di. Multiphoton Lithography. Weinheim, Germany: Wiley-VCH Verlag GmbH & Co. KGaA, 2016. http://dx.doi.org/10.1002/9783527682676.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
6

Ma, Xu, e Gonzalo R. Arce. Computational Lithography. Hoboken, NJ, USA: John Wiley & Sons, Inc., 2010. http://dx.doi.org/10.1002/9780470618943.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
7

Moreau, Wayne M. Semiconductor Lithography. Boston, MA: Springer US, 1988. http://dx.doi.org/10.1007/978-1-4613-0885-0.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
8

Ozel, Tuncay. Coaxial Lithography. Cham: Springer International Publishing, 2016. http://dx.doi.org/10.1007/978-3-319-45414-6.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
9

Landis, Stefan, a cura di. Nano-Lithography. Hoboken, NJ USA: John Wiley & Sons, Inc., 2013. http://dx.doi.org/10.1002/9781118622582.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
10

R, Arce Gonzalo, a cura di. Computational lithography. Hoboken, N.J: Wiley, 2010.

Cerca il testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri

Capitoli di libri sul tema "Lithography"

1

Anner, George E. "Lithography". In Planar Processing Primer, 439–91. Dordrecht: Springer Netherlands, 1990. http://dx.doi.org/10.1007/978-94-009-0441-5_11.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
2

Veendrick, Harry. "Lithography". In Bits on Chips, 151–66. Cham: Springer International Publishing, 2018. http://dx.doi.org/10.1007/978-3-319-76096-4_9.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
3

Kim, Dae-Eun, e In-Ha Sung. "Lithography". In Encyclopedia of Tribology, 1994–2007. Boston, MA: Springer US, 2013. http://dx.doi.org/10.1007/978-0-387-92897-5_1051.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
4

El-Kareh, Badih. "Lithography". In Fundamentals of Semiconductor Processing Technology, 169–260. Boston, MA: Springer US, 1995. http://dx.doi.org/10.1007/978-1-4615-2209-6_4.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
5

Morita, Hiroshi. "Lithography". In Computer Simulation of Polymeric Materials, 389–96. Singapore: Springer Singapore, 2016. http://dx.doi.org/10.1007/978-981-10-0815-3_29.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
6

Widmann, Dietrich, Hermann Mader, Hans Friedrich, Walter Heywang e Rudolf Müller. "Lithography". In Technology of Integrated Circuits, 95–167. Berlin, Heidelberg: Springer Berlin Heidelberg, 2000. http://dx.doi.org/10.1007/978-3-662-04160-4_4.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
7

Gooch, Jan W. "Lithography". In Encyclopedic Dictionary of Polymers, 431. New York, NY: Springer New York, 2011. http://dx.doi.org/10.1007/978-1-4419-6247-8_6976.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
8

Sarangan, Andrew. "Lithography". In Nanofabrication, 139–207. Boca Raton : CRC Press, Taylor & Francis Group, 2017. | Series: Optical sciences and applications of light: CRC Press, 2016. http://dx.doi.org/10.1201/9781315370514-6.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
9

Gatzen, Hans H., Volker Saile e Jürg Leuthold. "Lithography". In Micro and Nano Fabrication, 313–95. Berlin, Heidelberg: Springer Berlin Heidelberg, 2015. http://dx.doi.org/10.1007/978-3-662-44395-8_6.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
10

Mizuno, Fumio. "Lithography". In Ultraclean Surface Processing of Silicon Wafers, 398–413. Berlin, Heidelberg: Springer Berlin Heidelberg, 1998. http://dx.doi.org/10.1007/978-3-662-03535-1_29.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri

Atti di convegni sul tema "Lithography"

1

Lum, Bernice M., Andrew R. Neureuther e Glenn D. Kubiak. "Modeling Soft X-Ray Projection Lithography". In Soft X-Ray Projection Lithography. Washington, D.C.: Optica Publishing Group, 1993. http://dx.doi.org/10.1364/sxray.1993.tud.10.

Testo completo
Abstract (sommario):
Resist models to support resist line-edge profile simulation are being developed for soft x-ray projection lithography. Models for resist expos니re, post-exposure bake kinetics, and dissolution surface etching as well as exposure tool imaging are key to balancing tradeoffs between lithographic materials and exposure systems. The SAMPLE lithography simulation program is well suited for supporting the development of this new soft x- ray projection lithography technology once the materials and imaging models are extended.
Gli stili APA, Harvard, Vancouver, ISO e altri
2

Voelkel, Reinhard, Uwe Vogler, Arianna Bramati, Andreas Erdmann, Nezih Ünal, Ulrich Hofmann, Marc Hennemeyer, Ralph Zoberbier, David Nguyen e Juergen Brugger. "Lithographic process window optimization for mask aligner proximity lithography". In SPIE Advanced Lithography, a cura di Kafai Lai e Andreas Erdmann. SPIE, 2014. http://dx.doi.org/10.1117/12.2046332.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
3

McCallum, Martin. "Some lithographic limits of back end lithography". In Microelectronic and MEMS Technologies, a cura di Chris A. Mack e Tom Stevenson. SPIE, 2001. http://dx.doi.org/10.1117/12.425217.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
4

Hawryluk, A. M., D. R. Kania, P. Celliers, L. DaSilva, A. Stith, D. Stewart e S. Mrowka. "EUV Reticle Pattern Repair Experiments using 10 KeV Neon Ions". In Extreme Ultraviolet Lithography. Washington, D.C.: Optica Publishing Group, 1994. http://dx.doi.org/10.1364/eul.1994.rmm.204.

Testo completo
Abstract (sommario):
Any potential lithography must demonstrate an industrially-compatable reticle pattern repair process before the lithographic process can be seriously considered for production. Repair of clear defects on EUV reticles (i.e., regions on the mask which are reflective and should be non-reflective) requires the deposition of a thin layer of absorbing material. This process has been demonstrated in commercially available tools which were originally developed to repair proximity-print x-ray lithography masks. However, the repair of opaque defects (i.e., the recovery of reflectivity from regions on the reticle covered with an absorber) is more difficult. Opaque defect repair requires the removal of the absorber layer without damaging the underlying multilayer, a process which could degrade the mirror reflectivity. While opaque defect repair processes have been demonstrated in a research environment(1,2), these processes may not be commercially suitable. We are developing reticle repair processes that will be consistent with a commercially available repair tool. In this paper, we report on our first results.
Gli stili APA, Harvard, Vancouver, ISO e altri
5

Sasian, Jose M. "New developments in the design of ring field projection cameras for EUV lithography". In International Optical Design Conference. Washington, D.C.: Optica Publishing Group, 1998. http://dx.doi.org/10.1364/iodc.1998.lthd.1.

Testo completo
Abstract (sommario):
One important approach toward producing 0.1 micrometer lithographic features is EUV lithography. This technology requires ring-field reflective projection cameras to produce well corrected aerial images over a 1 x 26 mm arc field. Since the creation and application of the concept of ring field for lithographic systems little more has been published about the characteristics of such systems and their interesting attributes. The effects of smile vignetting, optical surface description choice, and quasi-ring field symmetry are examples that deserve a broader discussion. The presentation will address these effects and the trends in the optical design of all- reflective projection cameras for EUV lithography.
Gli stili APA, Harvard, Vancouver, ISO e altri
6

Trucano, Timothy G., Dennis E. Grady, Richard E. Olson e Archie Farnsworth. "Computational Analysis of Debris Formation in SXPL Laser-Plasma Sources". In Soft X-Ray Projection Lithography. Washington, D.C.: Optica Publishing Group, 1993. http://dx.doi.org/10.1364/sxray.1993.tud.12.

Testo completo
Abstract (sommario):
Laser generated extreme ultraviolet sources applicable to soft x-ray projection lithography (SXPL) are undermined by target debris formation. This debris, in the form of vapor and condensed ejecta, can coat and damage the optical systems that direct and focus the emitted radiation for the lithographic application [1]. The purpose of this paper is to present ongoing work to develop a computational methodology for understanding and predicting the debris formation process in these laser sources.
Gli stili APA, Harvard, Vancouver, ISO e altri
7

Sweatt, William C. "High Efficiency Condenser Design for Illuminating a Ring Field". In Soft X-Ray Projection Lithography. Washington, D.C.: Optica Publishing Group, 1993. http://dx.doi.org/10.1364/sxray.1993.mb.5.

Testo completo
Abstract (sommario):
This condenser couples a small, incoherent source into the 60° ring-field of a camera designed for projection lithography. Quasi-Köhler illumination uniformly illuminates the whole field and a degree of partial coherence is achieved (σ≈0.8). This design was conceived with a soft-X-ray laser-plasma source in mind; hence, it is all reflective. However, it would also be suitable for any other lithographic system employing a small, bright source.
Gli stili APA, Harvard, Vancouver, ISO e altri
8

Marrian, Christie R., Elizabeth A. Dobisz e John A. Dagata. "Scanning tunneling microscope lithography: a viable lithographic technology?" In Micro - DL Tentative, a cura di Martin C. Peckerar. SPIE, 1992. http://dx.doi.org/10.1117/12.136012.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
9

Neisser, Mark, Harry J. Levinson, Stefan Wurm, David Kyser, Takeo Watanabe, Ken Macwilliams, Hidemi Ishiuchi et al. "Lithography". In 2021 IEEE International Roadmap for Devices and Systems Outbriefs. IEEE, 2021. http://dx.doi.org/10.1109/irds54852.2021.00017.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
10

Hawryluk, Andrew M. "Reflection Masks for Soft X-Ray Projection Lithography". In Soft X-Ray Projection Lithography. Washington, D.C.: Optica Publishing Group, 1991. http://dx.doi.org/10.1364/sxray.1991.fc2.

Testo completo
Abstract (sommario):
Soft X-ray projection lithography (SXPL) may be used to fabricate high resolution structures for future integrated circuit devices but will require an al1-reflecting optical system with < 100 nm resolution and < 10 nm image distortion over large fields-of-view. In conventional designs, the lithographic, tool for SXPL is envisioned as a "ring-field" scanning system with multiple (3-5), possibly aspheric, imaging optics fabricated to ~<1 nm figure precisian. Conventional system designs will use a reflection mask.
Gli stili APA, Harvard, Vancouver, ISO e altri

Rapporti di organizzazioni sul tema "Lithography"

1

Park, Jea. Lithography Hotspot Detection. Portland State University Library, gennaio 2000. http://dx.doi.org/10.15760/etd.5665.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
2

Lewis, Aaron. Wavelength Independent Optical Lithography. Fort Belvoir, VA: Defense Technical Information Center, giugno 1986. http://dx.doi.org/10.21236/ada171935.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
3

Ji, Qing. Maskless, resistless ion beam lithography. Office of Scientific and Technical Information (OSTI), gennaio 2003. http://dx.doi.org/10.2172/809301.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
4

Zotter, Beth. Holographic Lithography for Industrial Nanomanufacturing. Office of Scientific and Technical Information (OSTI), marzo 2020. http://dx.doi.org/10.2172/1614764.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
5

Browning, R., e R. F. Pease. Low Voltage Electron Beam Lithography. Fort Belvoir, VA: Defense Technical Information Center, aprile 1994. http://dx.doi.org/10.21236/ada281046.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
6

NAVAL RESEARCH LAB WASHINGTON DC. Low Voltage Electron Beam Lithography. Fort Belvoir, VA: Defense Technical Information Center, marzo 1995. http://dx.doi.org/10.21236/ada293396.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
7

Liu, Weidong. Low Voltage Electron Beam Lithography. Fort Belvoir, VA: Defense Technical Information Center, giugno 1995. http://dx.doi.org/10.21236/ada296625.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
8

Browning, R., e R. F. Pease. Low Voltage Electron Beam Lithography. Fort Belvoir, VA: Defense Technical Information Center, ottobre 1992. http://dx.doi.org/10.21236/ada263360.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
9

Browning, R., e R. F. Pease. Low Voltage Electron Beam Lithography. Fort Belvoir, VA: Defense Technical Information Center, febbraio 1993. http://dx.doi.org/10.21236/ada265358.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
10

Cramer, Corson, Alicia Raftery e Andrew Nelson. Lithography-based Ceramics Manufacturing Technologies. Office of Scientific and Technical Information (OSTI), settembre 2019. http://dx.doi.org/10.2172/1659632.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
Offriamo sconti su tutti i piani premium per gli autori le cui opere sono incluse in raccolte letterarie tematiche. Contattaci per ottenere un codice promozionale unico!

Vai alla bibliografia