Letteratura scientifica selezionata sul tema "Application specific instruction-set processor (ASIP)"

Cita una fonte nei formati APA, MLA, Chicago, Harvard e in molti altri stili

Scegli il tipo di fonte:

Consulta la lista di attuali articoli, libri, tesi, atti di convegni e altre fonti scientifiche attinenti al tema "Application specific instruction-set processor (ASIP)".

Accanto a ogni fonte nell'elenco di riferimenti c'è un pulsante "Aggiungi alla bibliografia". Premilo e genereremo automaticamente la citazione bibliografica dell'opera scelta nello stile citazionale di cui hai bisogno: APA, MLA, Harvard, Chicago, Vancouver ecc.

Puoi anche scaricare il testo completo della pubblicazione scientifica nel formato .pdf e leggere online l'abstract (il sommario) dell'opera se è presente nei metadati.

Articoli di riviste sul tema "Application specific instruction-set processor (ASIP)"

1

Imai, Masaharu, Yoshinori Takeuchi, Keishi Sakanushi e Nagisa Ishiura. "Advantage and Possibility of Application-domain Specific Instruction-set Processor (ASIP)". IPSJ Transactions on System LSI Design Methodology 3 (2010): 161–78. http://dx.doi.org/10.2197/ipsjtsldm.3.161.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
2

Sharma, Poonam, Ashwani Kumar Dubey e Ayush Goyal. "Efficient Computing in Image Processing and DSPs with ASIP Based Multiplier". Recent Patents on Engineering 13, n. 2 (27 maggio 2019): 174–80. http://dx.doi.org/10.2174/1872212112666180810150357.

Testo completo
Abstract (sommario):
Background: With the growing demand of image processing and the use of Digital Signal Processors (DSP), the efficiency of the Multipliers and Accumulators has become a bottleneck to get through. We revised a few patents on an Application Specific Instruction Set Processor (ASIP), where the design considerations are proposed for application-specific computing in an efficient way to enhance the throughput. Objective: The study aims to develop and analyze a computationally efficient method to optimize the speed performance of MAC. Methods: The work presented here proposes the design of an Application Specific Instruction Set Processor, exploiting a Multiplier Accumulator integrated as the dedicated hardware. This MAC is optimized for high-speed performance and is the application-specific part of the processor; here it can be the DSP block of an image processor while a 16-bit Reduced Instruction Set Computer (RISC) processor core gives the flexibility to the design for any computing. The design was emulated on a Xilinx Field Programmable Gate Array (FPGA) and tested for various real-time computing. Results: The synthesis of the hardware logic on FPGA tools gave the operating frequencies of the legacy methods and the proposed method, the simulation of the logic verified the functionality. Conclusion: With the proposed method, a significant improvement of 16% increase in throughput has been observed for 256 steps iterations of multiplier and accumulators on an 8-bit sample data. Such an improvement can help in reducing the computation time in many digital signal processing applications where multiplication and addition are done iteratively.
Gli stili APA, Harvard, Vancouver, ISO e altri
3

Xin, Yao, Will X. Y. Li, Zhaorui Zhang, Ray C. C. Cheung, Dong Song e Theodore W. Berger. "An Application Specific Instruction Set Processor (ASIP) for Adaptive Filters in Neural Prosthetics". IEEE/ACM Transactions on Computational Biology and Bioinformatics 12, n. 5 (1 settembre 2015): 1034–47. http://dx.doi.org/10.1109/tcbb.2015.2440248.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
4

Safaei Mehrabani, Yavar. "Synthesis of an Application Specific Instruction Set Processor (ASIP) for RIPEMD-160 Hash Algorithm". International Journal of Electronics Letters 7, n. 2 (25 maggio 2018): 154–65. http://dx.doi.org/10.1080/21681724.2018.1477182.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
5

Zhang, Diandian, Li Lu, Jeronimo Castrillon, Torsten Kempf, Gerd Ascheid, Rainer Leupers e Bart Vanthournout. "Efficient Implementation of Application-Aware Spinlock Control in MPSoCs". International Journal of Embedded and Real-Time Communication Systems 4, n. 1 (gennaio 2013): 64–84. http://dx.doi.org/10.4018/jertcs.2013010104.

Testo completo
Abstract (sommario):
Spinlocks are a common technique in Multi-Processor Systems-on-Chip (MPSoCs) to protect shared resources and prevent data corruption. Without a priori application knowledge, the control of spinlocks is often highly random which can degrade the system performance significantly. To improve this, a centralized control mechanism for spinlocks is proposed in this paper, which utilizes application-specific information during spinlock control. The complete control flow is presented, which starts from integrating high-level user-defined information down to a low-level realization of the control. An Application-Specific Instruction-set Processor (ASIP) called OSIP, which was originally designed for task scheduling and mapping, is extended to support this mechanism. The case studies demonstrate the high efficiency of the proposed approach and at the same time highlight the efficiency and flexibility advantages of using an ASIP as the system controller in MPSoCs.
Gli stili APA, Harvard, Vancouver, ISO e altri
6

Iwaizumi, Hiroki, Shingo Yoshizawa e Yoshikazu Miyanaga. "A High-Speed and Low-Energy-Consumption Processor for SVD-MIMO-OFDM Systems". VLSI Design 2013 (18 marzo 2013): 1–10. http://dx.doi.org/10.1155/2013/625019.

Testo completo
Abstract (sommario):
A processor design for singular value decomposition (SVD) and compression/decompression of feedback matrices, which are mandatory operations for SVD multiple-input multiple-output orthogonal frequency-division multiplexing (MIMO-OFDM) systems, is proposed and evaluated. SVD-MIMO is a transmission method for suppressing multistream interference and improving communication quality by beamforming. An application specific instruction-set processor (ASIP) architecture is adopted to achieve flexibility in terms of operations and matrix size. The proposed processor realizes a high-speed/low-power design and real-time processing by the parallelization of floating-point units (FPUs) and arithmetic instructions specialized in complex matrix operations.
Gli stili APA, Harvard, Vancouver, ISO e altri
7

Qiao, Wan, e Dake Liu. "A scalable ASIP for BP Polar decoding with multiple code lengths". MATEC Web of Conferences 232 (2018): 01046. http://dx.doi.org/10.1051/matecconf/201823201046.

Testo completo
Abstract (sommario):
In this paper, we propose a flexible scalable BP Polar decoding application-specific instruction set processor (PASIP) that supports multiple code lengths (64 to 4096) and any code rates. High throughputs and sufficient programmability are achieved by the single-instruction-multiple-data (SIMD) based architecture and specially designed Polar decoding acceleration instructions. The synthesis result using 65 nm CMOS technology shows that the total area of PASIP is 2.71 mm2. PASIP provides the maximum throughput of 1563 Mbps (for N = 1024) at the work frequency of 400MHz. The comparison with state-of-art Polar decoders reveals PASIP’s high area efficiency.
Gli stili APA, Harvard, Vancouver, ISO e altri
8

Wong, Tingh Wee, Bryan Ng e Chee Onn Wong. "Encoding Custom Instruction Generation as Satisfiability Problem". Advanced Materials Research 403-408 (novembre 2011): 502–10. http://dx.doi.org/10.4028/www.scientific.net/amr.403-408.502.

Testo completo
Abstract (sommario):
The emergence of Application-specific Instruction-set Processor (ASIP) has encouraged the proliferation of tool-chains used to streamline its design flow. One of the features much sought-after in these tool-chains is notably the automatic generation of Application-specific Functional Units (AFUs) which, in turn, involves the custom instruction generation as a crucial step. Whereupon an additional step is assumed to pipeline the patterns identified for fulfilling the I/O constraint, custom instructions that correspond to maximal valid subgraphs are mostly beneficial to the speedup gain. Therefore, we present in this paper a propositional satisfiability approach to efficiently identify the custom instructions which contain a large number of valid nodes. Our approach is different substantially from the previous works where it uses an edge classification method to reduce the search space for convexity checking. The experiment results show that our method can, in a matter of few seconds, identify a set of custom instructions that speed up the application to a few times faster.
Gli stili APA, Harvard, Vancouver, ISO e altri
9

Fischer, Dirk, Jürgen Teich, Ralph Weper e Michael Thies. "BUILDABONG: A Framework for Architecture/Compiler Co-Exploration for ASIPs". Journal of Circuits, Systems and Computers 12, n. 03 (giugno 2003): 353–75. http://dx.doi.org/10.1142/s0218126603000799.

Testo completo
Abstract (sommario):
With the term Architecture/Compiler Co-exploration, we denote the problem of simultaneously optimizing an application-specific instruction set processor (ASIP) architecture as well as its generated compiler. In this paper, we characterize the design space of both compiler frontend (intermediate code optimization) and backend (changes of the machine model) and present the workflow of our framework BUILDABONG. The project consists of four phases: (a) architecture entry and composition, (b) automatic simulator generation, (c) compiler generation (in particular, retargeting), and (d) automatic architecture/compiler design space exploration. We demonstrate the feasibility of our approach by a detailed case study.
Gli stili APA, Harvard, Vancouver, ISO e altri
10

Ahmed, O., S. Areibi e G. Grewal. "Hardware Accelerators Targeting a Novel Group Based Packet Classification Algorithm". International Journal of Reconfigurable Computing 2013 (2013): 1–33. http://dx.doi.org/10.1155/2013/681894.

Testo completo
Abstract (sommario):
Packet classification is a ubiquitous and key building block for many critical network devices. However, it remains as one of the main bottlenecks faced when designing fast network devices. In this paper, we propose a novel Group Based Search packet classification Algorithm (GBSA) that is scalable, fast, and efficient. GBSA consumes an average of 0.4 megabytes of memory for a 10 k rule set. The worst-case classification time per packet is 2 microseconds, and the preprocessing speed is 3 M rules/second based on an Xeon processor operating at 3.4 GHz. When compared with other state-of-the-art classification techniques, the results showed that GBSA outperforms the competition with respect to speed, memory usage, and processing time. Moreover, GBSA is amenable to implementation in hardware. Three different hardware implementations are also presented in this paper including an Application Specific Instruction Set Processor (ASIP) implementation and two pure Register-Transfer Level (RTL) implementations based on Impulse-C and Handel-C flows, respectively. Speedups achieved with these hardware accelerators ranged from 9x to 18x compared with a pure software implementation running on an Xeon processor.
Gli stili APA, Harvard, Vancouver, ISO e altri

Tesi sul tema "Application specific instruction-set processor (ASIP)"

1

Radhakrishnan, Swarnalatha Computer Science &amp Engineering Faculty of Engineering UNSW. "Heterogeneous multi-pipeline application specific instruction-set processor design and implementation". Awarded by:University of New South Wales. Computer Science and Engineering, 2006. http://handle.unsw.edu.au/1959.4/29161.

Testo completo
Abstract (sommario):
Embedded systems are becoming ubiquitous, primarily due to the fast evolution of digital electronic devices. The design of modern embedded systems requires systems to exhibit, high performance and reliability, yet have short design time and low cost. Application Specific Instruction set processors (ASIPs) are widely used in embedded system since they are economical to use, flexible, and reusable (thus saves design time). During the last decade research work on ASIPs have been carried out in mainly for single pipelined processors. Improving performance in processors is possible by exploring the available parallelism in the program. Designing of multiple parallel execution paths for parallel execution of the processor naturally incurs additional cost. The methodology presented in this dissertation has addressed the problem of improving performance in ASIPs, at minimal additional cost. The devised methodology explores the available parallelism of an application to generate a multi-pipeline heterogeneous ASIP. The processor design is application specific. No pre-defined IPs are used in the design. The generated processor contains multiple standalone pipelined data paths, which are not necessarily identical, and are connected by the necessary bypass paths and control signals. Control unit are separate for each pipeline (though with the same clock) resulting in a simple and cost effective design. By using separate instruction and data memories (Harvard architecture) and by allowing memory access by two separate pipes, the complexity of the controller and buses are reduced. The impact of higher memory latencies is nullified by utilizing parallel pipes during memory access. Efficient bypass network selection and encoding techniques provide a better implementation. The initial design approach with only two pipelines without bypass paths show speed improvements of up to 36% and switching activity reductions of up to 11%. The additional area costs around 16%. An improved design with different number of pipelines (more than two) based on applications show on average of 77% performance improvement with overheads of: 49% on area; 51% on leakage power; 17% on switching activity; and 69% on code size. The design was further trimmed, with bypass path selection and encoding techniques, which show a saving of up to 32% of area and 34% of leakage power with 6% performance improvement and 69% of code size reduction compared to the design approach without these techniques in the multi pipeline design.
Gli stili APA, Harvard, Vancouver, ISO e altri
2

Packiaraj, Vivek. "Study, Design and Implementation of an Application Specific Instruction Set Processor for a Specific DSP Task". Thesis, Linköping University, Electronics System, 2008. http://urn.kb.se/resolve?urn=urn:nbn:se:liu:diva-52314.

Testo completo
Abstract (sommario):

There is a lot of literature already available describing well-structured approach for embeddeddesign and implementation of Application Specific Integrated Processor (ASIP) micro processorcore.

This concept features hardware structured approach for implementation of processor core fromminimal instruction set, encoding standards, hardware mapping, and micro architecture design,coding conventions, RTL,verification and burning into a FPGA. The goal is to design an ASIPprocessor core (Micro architecture design and RTL) which can perform DSP task, e.g., FIR. Thereport is a well structured approach of design and implementation of an ASIP DSP processor forDSP applications like FIR. This report contains design flow starting from Instruction set design,micro architecture design and RTL implementation of the core. Details of the power simulationsof FPGA are also listed and analyzed.

Gli stili APA, Harvard, Vancouver, ISO e altri
3

Cheung, Newton Computer Science &amp Engineering Faculty of Engineering UNSW. "Design automation methodologies for extensible processor platform". Awarded by:University of New South Wales. School of Computer Science and Engineering, 2005. http://handle.unsw.edu.au/1959.4/26118.

Testo completo
Abstract (sommario):
This thesis addresses two ubiquitous trends in the embedded system world - the increasing importance of design turnaround time as a design metric, and the move towards closing the design productivity gap. Adopting the right choice of design approach has been recognised as an integral part of the design flow in order to meet desired characteristics such as increasing software content, satisfying the growing complexities of an application, reusing off-the-shelf components, and exploring design metrics tradeoff, which closes the design productivity gap. The importance of design turnaround time is motivated by the intensive competition between manufacturers, especially makers of mainstream electronic consumer products, who shrinks the product life cycle and requires faster time-to-market to maximise economic benefits. This thesis presents a suite of design automation methodologies to automatically design embedded systems for an application in the state-of-the-art design approach - the extensible processor platform. These design automation methodologies systematise the extensible processor platform???s design flow, with particular emphasis on solving four challenging design problems: i) code segment identification; ii) instruction generation; iii) architectural customisation selection; and iv) processor evaluation. Our suite of design automation methodologies includes: i) a semi-automatic design system - to design an extensible processor that maximises the application performance while satisfying the area constraint. By specifying a fitting function to identify suitable code segments within an application, a two-level hierarchy selection algorithm is used to first select a predefined processor and then select the right instruction, and a performance estimator is used to estimate an application's performance; ii) a tool to match instructions - to automatically match the pre-designed instructions with computationally intensive code segments, reducing verification time and effort; iii) an instructions estimation model - to estimate the area overhead, latency, power consumption of extensible instructions, exploring larger design space; and iv) an instructions generation tool - to generate new extensible instructions that maximises the speedup while minimising power dissipation. A number of techniques such as system decomposition, combinational equivalence checking and regression analysis etc., have been heavily relied upon in the creation of the final design system. This thesis shows results at every stage to demonstrate the efficacy of our design methodologies in the creation of extensible processors. The methodologies and results presented in this thesis demonstrate that automating the design process for an extensible processor platform results in significant performance increase - on average, an increase of 4.74x (up to 15.71x) compared to the original base processor. Our system achieves significant design turnaround time savings (2.5% of the full simulation time for the entire design space) with majority Pareto points obtained (91% on average), and can lead to fewer and faster design iterations. Our instruction matching tool is 7.3x faster on average compared to the best known approaches to the problem (partial simulations). Our estimation model has a mean absolute error as small as 3.4% (6.7% max.) for area overhead, 5.9% (9.4% max.) for latency, and 4.2% (7.2% max.) for power consumption, compared to estimation through the time consuming synthesis and simulation steps using commercial tools. Finally, the instruction generation tool reduces energy consumption by a further 5.8% on average (up to 17.7%) compared to extensible instructions generated by previous approaches.
Gli stili APA, Harvard, Vancouver, ISO e altri
4

Mikó, Albert. "Akcelerace aplikací pomocí specializovaných instrukcí". Master's thesis, Vysoké učení technické v Brně. Fakulta informačních technologií, 2016. http://www.nusl.cz/ntk/nusl-255444.

Testo completo
Abstract (sommario):
The design of specialized instructions for application specific processors is a challenging task. This thesis describes the issues of effective specification and use of specialized instructions for optimization of applications. It focuses on improvements of the outputs and usability of the semiatomatic method of selection of specialized instructions to allow the optimization of complicated applications. This method combines manual selection of instructions by marking a section of source code in the application and automatic generation of the instruction description in the modelling language.
Gli stili APA, Harvard, Vancouver, ISO e altri
5

Šulek, Jakub. "Verifikace ASIP založena na formálních tvrzeních". Master's thesis, Vysoké učení technické v Brně. Fakulta informačních technologií, 2015. http://www.nusl.cz/ntk/nusl-264941.

Testo completo
Abstract (sommario):
This thesis introduces the concept of assertion-based verifi cation of application-specifi c instruction set processors (ASIPs). The proposed design is implemented in SystemVerilog Assertions language as a part of veri fication environment created using Codasip Framework. The implemented concept is simulated in QuestaSim tool using model of Codix RISC processor. Main outcome of this thesis is the verifi cation concept usable not only on other processors, but as a part of system that automates the processor design as well.
Gli stili APA, Harvard, Vancouver, ISO e altri
6

Vogt, Timo. "A reconfigurable application-specific instruction-set processor for trellis-based channel decoding /". Kaiserslautern : Techn. Univ. Kaiserslautern, 2008. http://bvbr.bib-bvb.de:8991/F?func=service&doc_library=BVB01&doc_number=016537958&line_number=0001&func_code=DB_RECORDS&service_type=MEDIA.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
7

Shee, Seng Lin Computer Science &amp Engineering Faculty of Engineering UNSW. "ADAPT : architectural and design exploration for application specific instruction-set processor technologies". Awarded by:University of New South Wales, 2007. http://handle.unsw.edu.au/1959.4/35404.

Testo completo
Abstract (sommario):
This thesis presents design automation methodologies for extensible processor platforms in application specific domains. The work presents first a single processor approach for customization; a methodology that can rapidly create different processor configurations by the removal of unused instructions sets from the architecture. A profile directed approach is used to identify frequently used instructions and to eliminate unused opcodes from the available instruction pool. A coprocessor approach is next explored to create an SoC (System-on-Chip) to speedup the application while reducing energy consumption. Loops in applications are identified and accelerated by tightly coupling a coprocessor to an ASIP (Application Specific Instruction-set Processor). Latency hiding is used to exploit the parallelism provided by this architecture. A case study has been performed on a JPEG encoding algorithm; comparing two different coprocessor approaches: a high-level synthesis approach and our custom coprocessor approach. The thesis concludes by introducing a heterogenous multi-processor system using ASIPs as processing entities in a pipeline configuration. The problem of mapping each algorithmic stage in the system to an ASIP configuration is formulated. We proposed an estimation technique to calculate runtimes of the configured multiprocessor system without running cycle-accurate simulations, which could take a significant amount of time. We present two heuristics to efficiently search the design space of a pipeline-based multi ASIP system and compare the results against an exhaustive approach. In our first approach, we show that, on average, processor size can be reduced by 30%, energy consumption by 24%, while performance is improved by 24%. In the coprocessor approach, compared with the use of a main processor alone, a loop performance improvement of 2.57x is achieved using the custom coprocessor approach, as against 1.58x for the high level synthesis method, and 1.33x for the customized instruction approach. Energy savings are 57%, 28% and 19%, respectively. Our multiprocessor design provides a performance improvement of at least 4.03x for JPEG and 3.31x for MP3, for a single processor design system. The minimum cost obtained using our heuristic was within 0.43% and 0.29% of the optimum values for the JPEG and MP3 benchmarks respectively.
Gli stili APA, Harvard, Vancouver, ISO e altri
8

Yassin, Yahya H. "ULTRA LOW POWER APPLICATION SPECIFIC INSTRUCTION-SET PROCESSOR DESIGN : for a cardiac beat detector algorithm". Thesis, Norwegian University of Science and Technology, Department of Electronics and Telecommunications, 2009. http://urn.kb.se/resolve?urn=urn:nbn:no:ntnu:diva-9914.

Testo completo
Abstract (sommario):

High efficiency and low power consumption are among the main topics in embedded systems today. For complex applications, off-the-shelf processor cores might not provide the desired goals in terms of power consumption. By optimizing the processor for the application, or a set of applications, one could improve the computing power by introducing special purpose hardware units. The execution cycle count of the application would in this case be reduced significantly, and the resulting processor would consume less power. In this thesis, some research is done in how to optimize a software and hardware development for ultra low power consumption. A cardiac beat detector algorithm is implemented in ANSI C, and optimized for low power consumption, by using several software power optimization techniques. The resulting application is mapped on a basic processor architecture provided by Target Compiler Technologies. This processor is optimized further for ultra low power consumption by applying application specific hardware, and by using several hardware power optimization techniques. A general processor and the optimized processor has been mapped on a chip, using a 90 nm low power TSMC process. Information about power dissipation is extracted through netlist simulation, and the results of both processors have been compared. The optimized processor consume 55% less average power, and the duty cycle of the processor, i.e., the time in which the processor executes its task with respect to the time budget available, has been reduced from 14% to 2.8%. The reduction in the total execution cycle count is 81%. The possibilities of applying power gating, or voltage and frequency scaling are discussed, and it is concluded that further reduction in power consumption is possible by applying these power optimization techniques. For a given case, the average leakage power dissipation is estimated to be reduced by 97.2%.

Gli stili APA, Harvard, Vancouver, ISO e altri
9

Husár, Adam. "Implementace obecného assembleru". Master's thesis, Vysoké učení technické v Brně. Fakulta informačních technologií, 2007. http://www.nusl.cz/ntk/nusl-412779.

Testo completo
Abstract (sommario):
This thesis describes the design of the universal assembler that represents a part of the Lissom project. You will be provided with the description of the assembler architectures and their usual tasks. Special attention is paid to GNU assembler. Designed assembler consists of the fixed and the generated part. The generated part is created automatically from the description of instruction set, that is defined using architecture and instructions set description language ISAC. Using this approach, it is possible to change assembler target architecture automatically. The second part of thesis describes the Parserlib2 library implementation that is a part of the Lissom project and provides the information about the target instruction set for an assembler generator.
Gli stili APA, Harvard, Vancouver, ISO e altri
10

Bytyn, Andreas [Verfasser], Gerd [Akademischer Betreuer] Ascheid e Rainer [Akademischer Betreuer] Leupers. "Efficiency and scalability exploration of an application-specific instruction-set processor for deep convolutional neural networks / Andreas Bytyn ; Gerd Ascheid, Rainer Leupers". Aachen : Universitätsbibliothek der RWTH Aachen, 2020. http://d-nb.info/1230325506/34.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri

Libri sul tema "Application specific instruction-set processor (ASIP)"

1

Embedded DSP processor design: Application specific instruction set processors. Amsterdam: Morgan Kaufmann, 2008.

Cerca il testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
2

Rainer, Leupers, e SpringerLink (Online service), a cura di. Application Analysis Tools for ASIP Design: Application Profiling and Instruction-set Customization. New York, NY: Springer Science+Business Media, LLC, 2011.

Cerca il testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
3

Nelson, Victor P. 74AS-EVM-16: A microprogramming approach to application-specific instruction set processor design : register-transfer level design : laboratory manual no. 1. Dallas, TX: Texas Instruments, 1987.

Cerca il testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
4

Nelson, Victor P. 74AS-EVM-16: A microprogramming approach to application-specific instruction set processor design : register-transfer level design : laboratory manual no. 1. Dallas, TX: Texas Instruments, 1987.

Cerca il testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
5

Leupers, Rainer, e Kingshuk Karuri. Application Analysis Tools for ASIP Design: Application Profiling and Instruction-set Customization. Springer, 2014.

Cerca il testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri

Capitoli di libri sul tema "Application specific instruction-set processor (ASIP)"

1

Choi, Seung-Hyun, Neungsoo Park, Yong Ho Song e Seong-Won Lee. "ASiPEC: An Application Specific Instruction-Set Processor for High Performance Entropy Coding". In Ubiquitous Computing Application and Wireless Sensor, 67–75. Dordrecht: Springer Netherlands, 2015. http://dx.doi.org/10.1007/978-94-017-9618-7_7.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
2

Wenger, Erich. "A Lightweight ATmega-Based Application-Specific Instruction-Set Processor for Elliptic Curve Cryptography". In Lecture Notes in Computer Science, 1–15. Berlin, Heidelberg: Springer Berlin Heidelberg, 2013. http://dx.doi.org/10.1007/978-3-642-40392-7_1.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
3

Karuri, Kingshuk, Rainer Leupers, Gerd Ascheid e Heinrich Meyr. "A Generic Design Flow for Application Specific Processor Customization through Instruction-Set Extensions (ISEs)". In Lecture Notes in Computer Science, 204–14. Berlin, Heidelberg: Springer Berlin Heidelberg, 2009. http://dx.doi.org/10.1007/978-3-642-03138-0_22.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
4

Zhang, Diandian, Jeronimo Castrillon, Stefan Schürmans, Gerd Ascheid, Rainer Leupers e Bart Vanthournout. "System-Level Analysis of MPSoCs with a Hardware Scheduler". In Advances in Systems Analysis, Software Engineering, and High Performance Computing, 335–67. IGI Global, 2014. http://dx.doi.org/10.4018/978-1-4666-6034-2.ch014.

Testo completo
Abstract (sommario):
Efficient runtime resource management in heterogeneous Multi-Processor Systems-on-Chip (MPSoCs) for achieving high performance and energy efficiency is one key challenge for system designers. In the past years, several IP blocks have been proposed that implement system-wide runtime task and resource management. As the processor count continues to increase, it is important to analyze the scalability of runtime managers at the system-level for different communication architectures. In this chapter, the authors analyze the scalability of an Application-Specific Instruction-Set Processor (ASIP) for runtime management called OSIP on two platform paradigms: shared and distributed memory. For the former, a generic bus is used as interconnect. For distributed memory, a Network-on-Chip (NoC) is used. The effects of OSIP and the communication architecture are jointly investigated from the system point of view, based on a broad case study with real applications (an H.264 video decoder and a digital receiver for wireless communications) and a synthetic benchmark application.
Gli stili APA, Harvard, Vancouver, ISO e altri
5

Puusaari, Kimmo, Timo Yli-Pietilä e Kim Rounioja. "Application Specific Instruction Set Processor for UMTS-FDD Cell Search". In Customizable Embedded Processors, 339–60. Elsevier, 2007. http://dx.doi.org/10.1016/b978-012369526-0/50015-2.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri

Atti di convegni sul tema "Application specific instruction-set processor (ASIP)"

1

Liu, Dake. "ASIP (Application Specific Instruction-set Processors) design". In 2009 IEEE 8th International Conference on ASIC (ASICON). IEEE, 2009. http://dx.doi.org/10.1109/asicon.2009.5351271.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
2

Mazurek, Przemyslaw. "BOSON - Application-Specific Instruction Set Processor (ASIP) for Educational Purposes". In 2020 16th International Conference on Control, Automation, Robotics and Vision (ICARCV). IEEE, 2020. http://dx.doi.org/10.1109/icarcv50220.2020.9305396.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
3

Mazurek, Przemyslaw. "BOSON - Application-Specific Instruction Set Processor (ASIP) for Educational Purposes". In 2020 16th International Conference on Control, Automation, Robotics and Vision (ICARCV). IEEE, 2020. http://dx.doi.org/10.1109/icarcv50220.2020.9305396.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
4

Huang, Wei-pei, Ray C. C. Cheung e Hong Yan. "An Efficient Application Specific Instruction Set Processor (ASIP) for Tensor Computation". In 2019 IEEE 30th International Conference on Application-specific Systems, Architectures and Processors (ASAP). IEEE, 2019. http://dx.doi.org/10.1109/asap.2019.00-36.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
5

Hu, Jingwei, Wangchen Dai, Liu Yao e Ray C. C. Cheung. "An application specific instruction set processor (ASIP) for the niederreiter cryptosystem". In 2018 6th International Symposium on Digital Forensic and Security (ISDFS). IEEE, 2018. http://dx.doi.org/10.1109/isdfs.2018.8355364.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
6

Hussain, Waqar, Xiaolin Chen, Gerd Ascheid e Jari Nurmi. "A Reconfigurable Application-specific Instruction-set Processor for Fast Fourier Transform processing". In 2013 IEEE 24th International Conference on Application-specific Systems, Architectures and Processors (ASAP). IEEE, 2013. http://dx.doi.org/10.1109/asap.2013.6567599.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
7

Fathy, Amr, Tsuyoshi Isshiki, Dongju Li e Hiroaki Kunieda. "Custom instruction search for application specific instruction-set processor using guided simulated annealing". In 2014 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS). IEEE, 2014. http://dx.doi.org/10.1109/apccas.2014.7032796.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
8

Bertoni, Guido, Luca Breveglieri, Farina Roberto e Francesco Regazzoni. "Speeding Up AES By Extending a 32 bit Processor Instruction Set". In IEEE 17th International Conference on Application-specific Systems, Architectures and Processors (ASAP'06). IEEE, 2006. http://dx.doi.org/10.1109/asap.2006.62.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
9

Eissa, Ahmed S., Mahmoud A. Elmohr, Mostafa A. Saleh, Khaled E. Ahmed e Mohammed M. Farag. "SHA-3 Instruction Set Extension for A 32-bit RISC processor architecture". In 2016 IEEE 27th International Conference on Application-specific Systems, Architectures and Processors (ASAP). IEEE, 2016. http://dx.doi.org/10.1109/asap.2016.7760804.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
10

Jun-Young Lee, Jae-Jin Lee, MooKyoung Jeong, NakWoong Eum e SeongMo Park. "A 100MHz ASIP (application specific instruction processor) for CAVLC of H.264/AVC decoder". In 2008 IEEE International Symposium on Circuits and Systems - ISCAS 2008. IEEE, 2008. http://dx.doi.org/10.1109/iscas.2008.4542204.

Testo completo
Gli stili APA, Harvard, Vancouver, ISO e altri
Offriamo sconti su tutti i piani premium per gli autori le cui opere sono incluse in raccolte letterarie tematiche. Contattaci per ottenere un codice promozionale unico!

Vai alla bibliografia