Articles de revues sur le sujet « Resist film removal »

Pour voir les autres types de publications sur ce sujet consultez le lien suivant : Resist film removal.

Créez une référence correcte selon les styles APA, MLA, Chicago, Harvard et plusieurs autres

Choisissez une source :

Consultez les 48 meilleurs articles de revues pour votre recherche sur le sujet « Resist film removal ».

À côté de chaque source dans la liste de références il y a un bouton « Ajouter à la bibliographie ». Cliquez sur ce bouton, et nous générerons automatiquement la référence bibliographique pour la source choisie selon votre style de citation préféré : APA, MLA, Harvard, Vancouver, Chicago, etc.

Vous pouvez aussi télécharger le texte intégral de la publication scolaire au format pdf et consulter son résumé en ligne lorsque ces informations sont inclues dans les métadonnées.

Parcourez les articles de revues sur diverses disciplines et organisez correctement votre bibliographie.

1

Mercadier, Thomas, Philippe Garnier, Virginie Loup, Raluca Tiron, Song Zhang, Ayumi Higuchi et Naser Belmiloud. « Evaluation and Optimization of Particle Removal with a Resist Peeling Method ». Solid State Phenomena 346 (14 août 2023) : 268–74. http://dx.doi.org/10.4028/p-art4vs.

Texte intégral
Résumé :
The removal of particle contamination is key to maximize yield. Some common particle removal techniques are not relevant anymore when complex and fragile structures are present on the surface. This led to the development of new cleaning processes based on innovative concepts to improve particle removal efficiency without any pattern damage. Some of these processes rely on a resist film lift off. One of these particle removal processes is studied in this paper. The process consists in some resist spin-coating followed by a diluted ammonia dispense to remove this film, which results in particle removal. This specific resist film is made of two immiscible organic polymers. A study was conducted to understand how the organization of these two polymers in the film is key for the film lift-off and the cleaning efficiency. This organization was shown to depend on the substrate contact angle and the resist formulation. A surface preparation is required on hydrophobic surface to reduce their water contact angle and ensure the efficiency of the process. As a result, compared to a high velocity aerosol cleaning technique, this resist peeling process requires multiple steps and a significant process time. A Particle Removal Efficiency study was then performed on blanket wafers to determine and understand how the different process parameters impacted on the cleaning efficiency. It led to the optimization of this process efficiency on blanket wafers. A comparison between an optimized process and a high velocity aerosol cleaning technique underlined the potential of such a process. Compared to high velocity aerosol cleaning, it demonstrated higher efficiency on blanket wafers, without causing any pattern damage on patterned wafers. These results lead to promising perspectives for using this process in the cleaning of fragile structure or targeting small particles with high adhesion.
Styles APA, Harvard, Vancouver, ISO, etc.
2

Sobhian, Mani. « The Role of Extreme Agitation in Accelerating the Removal Rate of Advanced Packaging Photoresists ». Additional Conferences (Device Packaging, HiTEC, HiTEN, and CICMT) 2013, DPC (1 janvier 2013) : 001389–416. http://dx.doi.org/10.4071/2013dpc-wp15.

Texte intégral
Résumé :
Negative Dry Film and Negative Spin-On photoresists are widely adopted as the prime choice in wafer-scale packaging processes such as bumping, TSV, and copper pillars. High transparency of negative resist produces vertical profiles with no footing at low exposure energy, resulting in a robust, high throughput lithography process (Doki, 2005). In plating applications, the 3-D cross-linking of negative resist provides good adhesion to wide range of substrates, enabling high-current ECD processes with high throughput. The main challenge of negative photoresist has always been its removal difficulty. Aggressive remover chemistries consisting of DMSO, NMP, and TMAH have been used to cleave, swell, and dissolve the material (Moore, 2002). This paper demonstrates the capabilities of a novel immersion-based strip technology that maintains a constant boundary layer at the wafer surface by providing high-speed agitation to each individual wafer. Results show an acceleration of the removal process, while reduced exposure to remover chemistries reduces risks of bump corrosion. Removal rates of negative dry film and negative spin-on resist materials have been studied across variety of commercially available strip chemistries.
Styles APA, Harvard, Vancouver, ISO, etc.
3

Hollenbeck, J. L., et R. C. Buchanan. « Oxide thin films for nanometer scale electron beam lithography ». Journal of Materials Research 5, no 5 (mai 1990) : 1058–72. http://dx.doi.org/10.1557/jmr.1990.1058.

Texte intégral
Résumé :
The exposure response of high resolution oxide resist materials has been examined under high intensity irradiation conditions (∼1 ⊠ 105 A/cm2) to determine the relationships among film characteristics, exposure requirements, and ultimate resolution, and to explore further the processes responsible for ablative exposure. Amorphous films of Al2O3, Y2O3, Sc2O3, 3Al2O3·2SiO2, and MgO·Al2O3 were deposited by rf sputtering onto substrates cooled to –196°C and found to require an exposure dose of approximately 5 ⊠ 103 C/cm2 to complete exposure. Amorphous film structure was found to be necessary to achieve rapid removal of material during exposure. Material properties also found to influence irradiation response and help guide the selection of new materials included ionic character, heat of formation, and melting point. Film thickness was found to influence strongly both exposure requirements and resolution, an optimum thickness occurring at approximately 90 nm in amorphous Al2O3. The dose requirement in 90 nm thick amorphous Al2O3 was determined to be 2.5 ⊠ 103 C/cm2, which is two to three orders of magnitude lower than that of oxide films produced by other techniques. Resolution of the rf sputtered oxide films allowed the production of 5.0 nm holes on 8.1 nm centers. A dedicated STEM was used for exposure studies as well as imaging, microdiffraction analysis, and monitoring of the transmitted beam current, and allowed a qualitative model of the exposure process in rf sputtered oxide resists to be developed.
Styles APA, Harvard, Vancouver, ISO, etc.
4

Lee, Jong Han, Sang Won Shin, Young Suk Kwon, In Hoon Choi, Chung Nam Whang, Tae Gon Kim et Jong Han Song. « Magnetic Patterning of the Ni/Cu Thin Film by 40 keV O Ion Irradiation ». Solid State Phenomena 124-126 (juin 2007) : 867–70. http://dx.doi.org/10.4028/www.scientific.net/ssp.124-126.867.

Texte intégral
Résumé :
Ni(60Å)/Cu film possessing perpendicular magnetic anisotropy (PMA) changes its easy direction into the plane by ion irradiation, due to the relaxation of the strain. By fixing our eyes upon this magnetic property, the magnetic patterning of Ni(60Å)/Cu film using 40 keV O ion irradiation was performed through the photo-resist (PR) mask having 10㎛ x 10 ㎛pattern sizes to pattern the magnetic film. After the PR mask removal of an irradiated film, the magnetic properties were investigated by the magneto-optic Kerr effect and the formation of magnetic pattern was observed by the magnetic force microscopy. The PMA magnetic patterning of epitaxial Ni/Cu film was successfully performed in scale of ㎛ by using ion irradiation, compatible with device process.
Styles APA, Harvard, Vancouver, ISO, etc.
5

Jimbo, Sadayuki, Kouji Shimomura, Tokuhisa Ohiwa, Makoto Sekine, Haruki Mori, Keiji Horioka et Haruo Okano. « Resist and Sidewall Film Removal after Al Reactive Ion Etching (RIE) Employing F+H2O Downstream Ashing ». Japanese Journal of Applied Physics 32, Part 1, No. 6B (30 juin 1993) : 3045–50. http://dx.doi.org/10.1143/jjap.32.3045.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
6

Mikalsen Martinussen, Simen, Raimond N. Frentrop, Meindert Dijkstra et Sonia Maria Garcia-Blanco. « Redeposition-Free Deep Etching in Small KY(WO4)2 Samples ». Micromachines 11, no 12 (24 novembre 2020) : 1033. http://dx.doi.org/10.3390/mi11121033.

Texte intégral
Résumé :
KY(WO4)2 is a promising material for on-chip laser sources. Deep etching of small KY(WO4)2 samples in combination with various thin film deposition techniques is desirable for the manufacturing of such devices. There are, however, several difficulties that need to be overcome before deep etching of KY(WO4)2 can be realized in small samples in a reproducible manner. In this paper, we address the problems of (i) edge bead formation when using thick resist on small samples, (ii) sample damage during lithography mask touchdown, (iii) resist reticulation during prolonged argon-based inductively coupled plasma reactive ion etching (ICP-RIE), and (iv) redeposited material on the feature sidewalls. We demonstrate the etching of 6.5 µm deep features and the removal of redeposited material using a wet etch procedure. This process will enable the realization of waveguides both in ion-irradiated KY(WO4)2 as well as thin KY(WO4)2 membranes transferred onto glass substrate by bonding and subsequent polishing.
Styles APA, Harvard, Vancouver, ISO, etc.
7

Tomita, Hiroshi, Minako Inukai, Kaori Umezawa et Li Nan Ji. « Direct Observation of Single Bubble Cavitation Damage for MHz Cleaning ». Solid State Phenomena 145-146 (janvier 2009) : 3–6. http://dx.doi.org/10.4028/www.scientific.net/ssp.145-146.3.

Texte intégral
Résumé :
It is well known that the physical force cleaning such as megasonic (MS) and ultrasonic (US) cleaning are used in FEOL (front-end-of-line) and BEOL (back-end-of-line). Recently, with scaling down below 43 nm, the influence of pattern damage by physical force methods such as MS and US irradiation has been reported. Hence, for the 2x and 3x nm node devices, it will be very difficult to apply MS cleaning for particle removal process without understanding the cavitation force. Cavitation is a complex phenomena based on bubble formation and explosion in the liquid. To control “MS cleaning” and “cavitation” induced pattern damage, many studies using “Sonoluminescence” have been reported. This method is able to demonstrate the existence of high energy fields such as cavitation throughout the megasonic field. The damage clustering distribution was investigated for the damage size and damage length in batch MS conditions using gate structure patterned [1]. In this method, it is difficult to discuss the cavitation force, quantitatively. And this method can not obtain the quantitative physical force on the wafer surface, directly. To understand “cavitation force” induced pattern damage, the observation of “cavitation force” is highlighted with “imaging films” such as blanket aluminum film and resist film, directly.
Styles APA, Harvard, Vancouver, ISO, etc.
8

Muangtong, Piyanut, Righdan Mohsen Namus et Russell Goodall. « Improved Tribocorrosion Resistance by Addition of Sn to CrFeCoNi High Entropy Alloy ». Metals 11, no 1 (24 décembre 2020) : 13. http://dx.doi.org/10.3390/met11010013.

Texte intégral
Résumé :
Among the high entropy or complex concentrated alloys (HEAs/CCAs), one type of system is commonly based on CoCrFeNi, which as an equiatomic quaternary alloy that forms a single phase FCC structure. In this work, the effect of Sn in an equiatomic quinary system with CoCrFeNi is shown to lead to a great improvement in hardness and resistance to tribocorrosion. The addition causes a phase transition from a single FCC phase in CoCrFeNi to dual phase in CoCrFeNiSn with an Ni-Sn intermetallic phase, and a CoCrFeNi FCC phase. The presence of both the hard intermetallic and this ductile phase helps to resist crack propagation, and consequent material removal during wear. In addition, the high polarization resistance of the passive film formed at the surface and the high corrosion potential of the Ni-Sn phase contribute to preventing chloride corrosion attack during corrosion testing. This film is tenacious enough for the effect to persist under tribocorrosion conditions.
Styles APA, Harvard, Vancouver, ISO, etc.
9

Farahani, Emad, Andre C. Liberati, Amirhossein Mahdavi, Pantcho Stoyanov, Christian Moreau et Ali Dolatabadi. « Ice Adhesion Evaluation of PTFE Solid Lubricant Film Applied on TiO2 Coatings ». Coatings 13, no 6 (6 juin 2023) : 1049. http://dx.doi.org/10.3390/coatings13061049.

Texte intégral
Résumé :
Ice formation affects the performance of many industrial components, including aircraft wings, spacecraft, and power transmission cables. In particular, ice build-up on airplane components increases drag and fuel consumption. A large number of studies have been carried out to reduce ice adhesion by developing passive methods such as icephobic coatings and active ice removal approaches such as mechanical vibrations or chemical-based solutions. Despite remarkable recent breakthroughs in the fabrication of icephobic coatings, passive ice removal solutions require higher durability to resist cyclical mechanical ice detachment treatments. Functionalized TiO2 coatings, applied using the suspension plasma spray (SPS) technique, have been shown to be robust and to have dual-scale characteristics in an ice accretion analysis. In this study, the icephobicity and mechanical durability of a novel duplex coating consisting of polytetrafluoroethylene (PTFE) solid lubricant films on TiO2-coated substrates were evaluated. Notably, various amounts of PTFE were applied on top of the TiO2 coating to identify the ideal quantity required to obtain optimal icephobic properties. Ice was generated in an icing wind tunnel, and the amount of accreted ice was evaluated to assess the anti-icing properties. Wettability parameters, including static water contact angle and contact angle hysteresis, were measured to determine the water mobility and surface energy. Ice shear adhesion to the PTFE-TiO2 duplex coating was measured using a custom-built test rig. The mechanical durability was assessed by measuring the ice shear strength for almost twenty icing–deicing cycles, and after five cycles, the roughness parameters and images taken from the surface of the samples were compared. The combination of PTFE solid lubricant film and TiO2 coating reduced ice adhesion by 70%–90% compared to that of a bare aluminum substrate (reference material). Additionally, the results showed that the application of a uniform layer of PTFE solid lubricant film on dual-scale TiO2 coating significantly reduced ice adhesion and maintained mechanical durability for 25 deicing cycles, making this combination a promising candidate for deicing approaches.
Styles APA, Harvard, Vancouver, ISO, etc.
10

Limcharoen, Alonggot, Pichet Limsuwan, Chupong Pakpum et Krisda Siangchaew. « Characterisation of C–F Polymer Film Formation on the Air-Bearing Surface Etched Sidewall of Fluorine-Based Plasma Interacting with AL2O3–TiC Substrate ». Journal of Nanomaterials 2013 (2013) : 1–6. http://dx.doi.org/10.1155/2013/851489.

Texte intégral
Résumé :
C–F polymer redeposition is generated on the etched sidewall of the patterned air-bearing surface (ABS). This C–F polymer is a by-product from fluorine-based plasma using a Surface Technology Systems multiplex-pro air-bearing etch (ABE). The morphology of the re-deposition and the composite element was observed by a scanning electron microscope (SEM). The chemical bonding results were characterised via X-ray photoelectron spectroscopy, attenuated total reflected infrared spectroscopy and visible Raman spectroscopy. The purpose of this work is to demonstrate a modification of AlF3re-deposition to C–F polymer re-deposition, which is easily stripped out by an isopropyl alcohol-based solution. The benefit of this research is the removal of the re-deposition in the resist strip process without additional cleaning process steps.
Styles APA, Harvard, Vancouver, ISO, etc.
11

Pfeiffer, P., X. D. Zhang, D. Stümmler, S. Sanders, M. Weingarten, M. Heuken, A. Vescan et H. Kalisch. « Backside Contacting for Uniform Luminance in Large-Area OLED ». MRS Advances 2, no 42 (2017) : 2275–80. http://dx.doi.org/10.1557/adv.2017.175.

Texte intégral
Résumé :
ABSTRACTWe have investigated organic light emitting diode (OLED) backside contacting for the enhancement of luminance uniformity as a superior alternative to gridlines. In this approach, the low-conductivity OLED anode is supported by a high-conductivity auxiliary electrode and vertically contacted through via holes. Electrical simulations of large-area OLEDs have predicted that this method allows comparable luminance uniformity while sacrificing significantly less active area compared to the common gridline approach.The method for fabricating backside contacts is comprised of five steps: (1) Thin-film encapsulation of the OLED, (2) Patterning of the OLED surface with lithography (resist mask defining via hole positions), (3) Via hole formation to the bottom anode by a plasma etching process, (4) Organic residues removal and sidewall insulation. (5) Contacting of the anode with a high-conductivity auxiliary electrode.Backside-contacted OLEDs processed by organic vapor phase deposition show high luminance uniformity. Scanning electron microscopy pictures and electrical breakthrough measurements confirm efficient sidewall insulation.
Styles APA, Harvard, Vancouver, ISO, etc.
12

Sawada, Yasushi, Keiichi Yamazaki, Noriyuki Taguchi et Tetsuji Shibata. « Pretreatment of Blind Via Holes before Ni/Au and Cu Plating Applied with Atmospheric Pressure Plasma Jet ». Journal of Microelectronics and Electronic Packaging 2, no 3 (1 juillet 2005) : 189–96. http://dx.doi.org/10.4071/1551-4897-2.3.189.

Texte intégral
Résumé :
The effectiveness of atmospheric pressure (AP) plasma preprocessing before Ni/Au or Cu plating has been examined by applying it to a build-up printed circuit board (FR-4 grade) and polyimide-based flexible circuit film, both with blind via-holes (BVHs). The AP plasma applied with a dielectric barrier discharge is generated inside a 56 mm wide quartz vessel by an RF power generator using Ar-O2 gas mixture. One side of the vessel is open and the plasma jet is blown on the sample substrate transported 5 mm downward from the outlet of the vessel. The deposit failure rate of Ni/Au electroless deposit to 50 μm-diameter BVHs formed on a photo resist on the printed circuit board is 12.5% without preprocessing but is decreased to 0% after applying the AP plasma processing. As for 50 μm-diameter BVHs formed with a YAG laser on a polyimide-based flexible circuit film, the bump formation using electrolytic copper plating fails without preprocessing, but a 100% bump formation rate is achieved after applying AP plasma processing. It is presumed that the AP plasma processing improves the wetting property of the BVH walls and allows the plating solution to uniformly cover the entire wall surfaces without generating bubbles. The removal of organic substances attached to the BVH bottom surface also helps to improve the adherence of metal plating.
Styles APA, Harvard, Vancouver, ISO, etc.
13

Ahner, Nicole, Sven Zimmermann, Matthias Schaller et Stefan E. Schulz. « Determination of Surface Energy Characteristics of Plasma Processed Ultra Low-K Dielectrics for Optimized Wetting in Wet Chemical Plasma Etch Residue Removal ». Solid State Phenomena 195 (décembre 2012) : 110–13. http://dx.doi.org/10.4028/www.scientific.net/ssp.195.110.

Texte intégral
Résumé :
The integration of porous ultra low dielectric constant materials (ULK) for isolation within the interconnect system of integrated circuits is a promising approach to reduce RC-delays and crosstalk due to shrinking feature sizes [1]. Actually the focus is on porous CVD-SiCOH materials, which consist of a Si-O-Si backbone and organic species (e.g. CH3) to lower polarizability and prevent moisture uptake to remarkably decrease the k-value [2]. The integration of porous low-k materials is very challenging, especially looking at patterning, resist stripping and etch residue removal, where commonly plasma processing has been applied. But plasma processing of ULK materials, especially using oxygen plasmas, is known to degrade electrical, optical and structural material properties by removing carbon from the film and densification of the surface near areas of the ULK [5]. Carbon depletion may also lead to the incorporation of-OH groups, which easily form silanols and therefore increase moisture absorption and k-values [2]. Besides the development of nondamaging plasma processes, wet cleaning is a promising alternative to avoid ULK damage while removing organic plasma etch residues. Additionally wet cleaning steps are always necessary to remove inorganic residues, which do not form volatile reaction products and can therefore not be removed by plasma processing.
Styles APA, Harvard, Vancouver, ISO, etc.
14

Es-Souni, Mona, Martha Es-Souni, Hamzah Bakhti, Aydin Gülses, Helge Fischer-Brandies, Yahya Açil, Jörg Wiltfang et Christian Flörke. « A Bacteria and Cell Repellent Zwitterionic Polymer Coating on Titanium Base Substrates towards Smart Implant Devices ». Polymers 13, no 15 (27 juillet 2021) : 2472. http://dx.doi.org/10.3390/polym13152472.

Texte intégral
Résumé :
Biofouling and biofilm formation on implant surfaces are serious issues that more than often lead to inflammatory reactions and the necessity of lengthy post-operation treatments or the removal of the implant, thus entailing a protracted healing process. This issue may be tackled with a biocompatible polymeric coating that at the same time prevents biofouling. In this work, oxygen plasma-activated silanized titanium substrates are coated with poly(sulfobetaine methacrylate), a zwitterionic antibiofouling polymer, using photopolymerization. The characterization of polymer films includes FT-IR, AFM, and adhesion strength measurements, where adhesion strength is analyzed using a cylindrical flat punch indenter and water contact angle (WCA) measurements. Both cytotoxicity analysis with primary human fibroblasts and fluorescence microscopy with fibroblasts and plaque bacteria are also performed is this work, with each procedure including seeding on coated and control surfaces. The film morphology obtained by the AFM shows a fine structure akin to nanoropes. The coatings can resist ultrasonic and sterilization treatments. The adhesion strength properties substantially increase when the films are soaked in 0.51 M of NaCl prior to testing when compared to deionized water. The coatings are superhydrophilic with a WCA of 10° that increases to 15° after dry aging. The viability of fibroblasts in the presence of coated substrates is comparable to that of bare titanium. When in direct contact with fibroblasts or bacteria, marginal adhesion for both species occurs on coating imperfections. Because photopolymerization can easily be adapted to surface patterning, smart devices that promote both osseointegration (in non-coated areas) and prevent cell overgrowth and biofilm formation (in coated areas) demonstrate practical potential.
Styles APA, Harvard, Vancouver, ISO, etc.
15

Moore, John, Jared Pettit, Alex Brewer et Alman Law. « Temporary Bonding of Wafers, Displays, and Components ». Additional Conferences (Device Packaging, HiTEC, HiTEN, and CICMT) 2015, DPC (1 janvier 2015) : 1–68. http://dx.doi.org/10.4071/2015dpc-tp13.

Texte intégral
Résumé :
Packaging practices are conducted on work unit substrates while they are temporarily held in place. This requires a simple adhesion process that enables easy removal without the burdens of complex cleaning. Substrates may be wafers, flexible displays, or components, organic or inorganic, and may contain topography such as solder bumps. The choice of a temporary bonding medium is dependent upon its ability to resist exposure to heat and chemicals. Thermal resistant materials as polyimide (PI), bisbenzocyclobutene (BCB, DOW CycloteneTM), or silicone can support processes that exceed 300°C, depending upon exposure conditions. In building flexible displays, PI materials are popular choices as a substrate processed from liquid and film forms. [1] These products may use silicone polymers, providing low outgas and inert character with an adhesive force tuned to allow substrate removal by peeling without a loss of integrity. Similar approaches are used for discrete, thin, fragile components, attached by dry bonding, processed, and removed by simple peeling practices without observed residue. Examples of die temporary bonding include encapsulation during bumping, permanent bonding, or vacuum deposition of EMI/RFI shielding. [2] The success in these and other technologies depend upon the use of the proper adhesive but most importantly, the tuning of the adhesion force. Successful tuning depends upon many factors, including substrate surface energy, texture, and the bonding process. Daetec has created adhesives used in temporary bonding processes for nearly 20yrs, applying to multiple wafer types, OLED and TFT displays, printed electronics, solar, thinning down to 4um, and thermal resistance >600°C. [3] Our experience in creating solutions for these and other industry needs will be discussed as well as the criteria to temporarily support flexible and rigid substrates of all types, sizes, and shapes.
Styles APA, Harvard, Vancouver, ISO, etc.
16

Horibe, H., M. Yamamoto, T. Maruoka, Y. Goto, A. Kono, I. Nishiyama et S. Tagawa. « Ion-implanted resist removal using atomic hydrogen ». Thin Solid Films 519, no 14 (mai 2011) : 4578–81. http://dx.doi.org/10.1016/j.tsf.2011.01.287.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
17

Chavez, K. L., et D. W. Hess. « Removal of Resist Materials Using Acetic Acid ». Journal of The Electrochemical Society 150, no 4 (2003) : G284. http://dx.doi.org/10.1149/1.1557085.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
18

Horibe, Hideo, Masayuki Fujita et Akira Yoshikado. « Acrylic-Type Resist Removal Using 532 nm Laser Pulses ». Journal of The Electrochemical Society 153, no 7 (2006) : G609. http://dx.doi.org/10.1149/1.2197767.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
19

Gupta, Atul, Eric Snyder, Christiane Gottschalke, Kevin Wenzel, James Gunn, Hao Lu, Yuya Suzuki, Venky Sundaram et Rao Tummala. « First Demonstration of Fine Line RDL Yield Enhancement using an Innovative Ozone Treatment Process for Panel Fan-out and Interposers ». Additional Conferences (Device Packaging, HiTEC, HiTEN, and CICMT) 2017, DPC (1 janvier 2017) : 1–19. http://dx.doi.org/10.4071/2017dpc-tp1_presentation2.

Texte intégral
Résumé :
As front end transistor scaling by Moore's law faces economic and technical challenges, interconnect scaling by advanced packaging technologies has started to add value at system level for a variety of electronics applications including consumer, high performance computing and automotive. The focus on yield improvement at every node that has enabled transistor scaling is now becoming a very critical need for high volume manufacturing of advanced packaging technologies such as 2.5D interposers and high density fanout [1]. This paper describes the first demonstration of a novel atmospheric approach based on ozone as an alternative to vacuum-based plasma treatment for photoresist cleaning to enhance the re-distribution layer (RDL) yields in advanced semi-additive process (SAP) processes. The ozone process is applicable to wafers as well as large panels, and is suited for small feature sizes down to 1um that are required for interposers and future fan-out packages. Ozone process provides an environmentally friendly solution eliminating the need for hazardous chemicals used in wet cleaning processes and has the potential to significantly increase throughput and reduce process cost compared to plasma processing by eliminating the need for vacuum chambers. The goal of this research is to demonstrate the effectiveness and benefits of the atmospheric dry ozone process developed using an MKS Instruments ozone delivery system for electrolytic copper plating yield improvement and dry film resist (DFR) residue cleaning, for 1-10um RDL critical dimensions (CD). The paper will describe the process fundamentals and the manufacturing tools, and discuss the characterization by contact angle measurements to confirm wettability of the plating surfaces, as well as demonstrate improvement of fine line RDL plating quality. The ever-increasing requirement for higher computing power in both high power applications and low power hand held or wearable devices is driving the need for higher signal bandwidth connections between logic and memory chips enabled through advances in the packaging world. The wiring density and I/O pitch are scaled down to achieve high bandwidth interconnections on a package with limited routing space. Photolithography, electrolytic copper plating, and copper seed layer etch are three crucial defining the yield and minimum feature size of RDL in the SAP flow. With the feature size scaling down to 3 μm, the quality of the metallized copper structure is crucial for high performance applications. Traditionally, wet chemical cleans are used for improving copper plating yields. The wet chemical process uses hazardous chemicals such as trichloroethylene (TCE) that are not environmentally friendly. These processes may be substituted with an oxygen plasma treatment that can clean organic residues in DFR trenches and improve wettability of the seed layers prior to plating as well as DFR residues after copper plating and DFR stripping. However, such plasma treatment approach requires a vacuum chamber which limits its throughput and cost effectiveness for high volume manufacturing. This paper proposes a higher throughput alternative solution to the plasma treatment process for electrolytic copper plating. Since the ozone gas is generated from oxygen, and reduced to oxygen upon process completion, no hazardous gas is required, or discharged into the atmosphere. To demonstrate the applicability of the ozone treatment to wafer-scale and panel-scale processing, two different types of copper seed layers, physical vapor deposition (PVD) Ti-Cu, and electroless plated copper, were evaluated. The effectiveness of both ozone and oxygen plasma treatments were qualified against a control sample with no treatment. The 7 μm thick DFR was laminated on the copper seed layers, then patterned with a projection lithography tool, and a minimum feature size of 3 μm was resolved. After photolithography, the substrates were subjected to ozone or plasma treatments. The water contact angle measurements show significant wettability improvement on the surfaces of substrates with copper seed layer, DFR, and DFR mesh patterned on a copper seed layer. Copper plating quality was then compared between samples. Both the ozone and plasma treatments resulted in excellent copper metallization quality due to the creation of a hydrophilic surface. The effectiveness of the ozone treatment at 50 deg C was confirmed, thus minimizing any impact on DFR stripping. The ozone treatment was also applied to clean the DFR residues after resist stripping and our results confirmed that the ozone process removed any remaining photoresist residues from the copper surface. In conclusion, this paper proposed and demonstrated high throughput, atmospheric pressure ozone treatment as an innovative alternative to plasma treatment for cleaning the surfaces prior to electrolytic copper plating, as well as for photoresist residue removal after resist strip. The results show yield improvement of plated RDL and DFR residue cleaning. The ozone process does not use any hazardous chemicals or gases and also does not require any vacuum steps, which makes it environmental friendly and high throughput, and offers a promising approach for fine line RDL for interposers and fan-out packages in meeting the semiconductor industry roadmap needs.
Styles APA, Harvard, Vancouver, ISO, etc.
20

Hollenbeck, J. L., et R. C. Buchanan. « Nanometer-scale structures produced in oxide films ». Proceedings, annual meeting, Electron Microscopy Society of America 45 (août 1987) : 396–97. http://dx.doi.org/10.1017/s0424820100126779.

Texte intégral
Résumé :
Rapid material removal has been found to occur in a number of metal halide and oxide films at the point of exposure to a high intensity electron beam. As a result, 3-dimensional, nanometer scale (1-100 nm) structures have been produced in these materials. Possible applications of these systems include substrates for high density E-beam data storage and E-beam resist materials for mask fabrication. Other potential applications would include processes where controlled patterning on a nanometer scale is desirable. In this study amorphous thin films of ZrO2, Y2O3 and AI2O3 were deposited onto coated Cu-grid substrates and the mechanism whereby E-beam interaction promoted rapid material removal on a nanometer scale was explored.Films less than 200 nm in thickness were prepared in a Perkin-Elmer Randex 3140-6J sputtering system. Deposition of films directly onto 20 mesh formvar coated TEM grids facilitated handling and allowed immediate analysis by EM techniques.
Styles APA, Harvard, Vancouver, ISO, etc.
21

Hossain, Sylvia D., et Michael F. Pas. « Heated SC1 Solution for Selective Etching and Resist Particulate Removal ». Journal of The Electrochemical Society 140, no 12 (1 décembre 1993) : 3604–6. http://dx.doi.org/10.1149/1.2221133.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
22

Pavlova, T. V., V. M. Shevlyuga, B. V. Andryushechkin, G. M. Zhidomirov et K. N. Eltsov. « Local removal of silicon layers on Si(1 0 0)-2 × 1 with chlorine-resist STM lithography ». Applied Surface Science 509 (avril 2020) : 145235. http://dx.doi.org/10.1016/j.apsusc.2019.145235.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
23

Noda, Seiji, Kazumasa Kawase, Hideo Horibe, Masaki Kuzumoto et Tatsuo Kataoka. « Development of a Method for Resist Removal by Ozone with Acetic Acid Vapor ». Journal of The Electrochemical Society 152, no 1 (2005) : G73. http://dx.doi.org/10.1149/1.1833311.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
24

Furusawa, Takeshi, Noriyuki Sakuma, Daisuke Ryuzaki, Seiichi Kondo, Ken-ichi Takeda, Shuntaro Machida, Ryo Yoneyama et Kenji Hinode. « Direct Resist Removal Process from Copper-Exposed Vias for Low-Parasitic-Capacitance Interconnects ». Journal of The Electrochemical Society 148, no 4 (2001) : G190. http://dx.doi.org/10.1149/1.1353580.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
25

Chen, Y., D. S. Macintyre et S. Thoms. « A non-destructive method for the removal of residual resist in imprinted patterns ». Microelectronic Engineering 67-68 (juin 2003) : 245–51. http://dx.doi.org/10.1016/s0167-9317(03)00184-9.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
26

Kono, Akihiko, Yu Arai, Takeshi Maruoka, Masashi Yamamoto, Yousuke Goto, Seiji Takahashi, Takashi Nishiyama et Hideo Horibe. « High removal rate of cross-linked SU-8 resist using hydrogen radicals generated by tungsten hot-wire catalyzer ». Thin Solid Films 562 (juillet 2014) : 632–37. http://dx.doi.org/10.1016/j.tsf.2014.04.062.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
27

Lu, Ming, et Shaozhang Niu. « A Detection Approach Using LSTM-CNN for Object Removal Caused by Exemplar-Based Image Inpainting ». Electronics 9, no 5 (22 mai 2020) : 858. http://dx.doi.org/10.3390/electronics9050858.

Texte intégral
Résumé :
Exemplar-based image inpainting technology is a “double-edged sword”. It can not only restore the integrity of image by inpainting damaged or removed regions, but can also tamper with the image by using the pixels around the object region to fill in the gaps left by object removal. Through the research and analysis, it is found that the existing exemplar-based image inpainting forensics methods generally have the following disadvantages: the abnormal similar patches are time-consuming and inaccurate to search, have a high false alarm rate and a lack of robustness to multiple post-processing combined operations. In view of the above shortcomings, a detection method based on long short-term memory (LSTM)-convolutional neural network (CNN) for image object removal is proposed. In this method, CNN is used to search for abnormal similar patches. Because of CNN’s strong learning ability, it improves the speed and accuracy of the search. The LSTM network is used to eliminate the influence of false alarm patches on detection results and reduce the false alarm rate. A filtering module is designed to eliminate the attack of post-processing operation. Experimental results show that the method has a high accuracy, and can resist the attack of post-processing combination operations. It can achieve a better performance than the state-of-the-art approaches.
Styles APA, Harvard, Vancouver, ISO, etc.
28

Li, X., H. Zhou, J. Abrokwah, P. Zurcher, K. Rajagopalan, W. Liu, R. Gregory, M. Passlack et I. G. Thayne. « Low damage ashing and etching processes for ion implanted resist and Si3N4 removal by ICP and RIE methods ». Microelectronic Engineering 85, no 5-6 (mai 2008) : 966–68. http://dx.doi.org/10.1016/j.mee.2007.12.056.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
29

Kalai, Amina, Fadila Malek et Leila Bousmaha-Marroki. « Effect of Thymus ciliatus oil-based disinfectant solutions against bio-films formed by Bacillus cereus strains isolated from pasteurized-milk processing lines in Algeria ». South Asian Journal of Experimental Biology 8, no 1 (29 octobre 2018) : 01–12. http://dx.doi.org/10.38150/sajeb.8(1).p01-12.

Texte intégral
Résumé :
Bacillus cereus is a foodborne pathogen that often persists in dairy environments and is associated with food poisoning and spoilage. This spore-forming bacterium has a high propensity to develop biofilms onto dairy processing equipment and resists to chemical cleaning and disinfecting. This study deals with the in vitro application of thyme oil-based sanitizer solutions against biofilms formed by B. cereus genotypes which persist in pasteurized-milk processing lines. The effect of Thymus ciliatus essential oil on B. cereus planktonic cells and biofilms was assessed. The oil was tested alone and in combination with organic acids or industrial cleaning agents, in order to improve the removal of B. cereus recurrent genotypes. Minimal inhibitory concentrations of planktonic growth (MICs), biofilm formation (MBIC) and biofilm eradication (MBEC) of oil and organic acids were evaluated by microdilution assays. Thyme oil was more effective than organic acids against B. cereus planktonic growth, biofilm formation and established bio-films. High values of MICs were obtained for the three organic acids tested (3.5-4.5%) in comparison with those of essential oil (0.082-0.088%). The combination of oil with other antimicrobials as acetic acid, NaOH or HNO3 improves their effectiveness against B. cereus biofilms. These oil-based sanitizer solutions allow complete B. cereus biofilm eradication and should be an attractive candidate for the control and removal of biofilms in the dairy envi-ronment.
Styles APA, Harvard, Vancouver, ISO, etc.
30

P. Yu. Glagolev, G. D. Demin, N. A. Djuzhev, M. A. Makhiboroda et N. A. Filippo. « Study of the dynamics of heating anode units in a maskless nanolithograph based on an array of microfocus X-ray tubes ». Technical Physics 92, no 13 (2022) : 2125. http://dx.doi.org/10.21883/tp.2022.13.52233.132-21.

Texte intégral
Résumé :
In this paper, we study the dynamics of heating the matrix of anode nodes membrane with a transmission-type target under the action of a field emission current generated in the electronic system of a maskless X-ray nanolithograph. The promising membrane materials that provide the most efficient heat removal from the matrix have been determined, among which diamond-like films have shown the best thermal stability. At the calculated power of soft X-ray radiation P_X=2.5 nW, scattered by a pixel with a size of 20 nm and an X-ray resist irradiation dose D=100 J/m2, the exposure time was 25 μs. It is shown that during the exposure of a 150 mm plate, a diamond-like anode membrane with a size of 300x300 elements heats up from 20 to 62oC, which is 15-25 times lower than the heating temperature of alternative anode materials (Cu, Fe, Ni, Si, Al). The technological route for the fabrication of the matrix of anode nodes is described, taking into account the proposed methods for optimizing its design, aimed at reducing the thermal effects of heating during X-ray nanolithography processes. The results obtained can be applied in the development of a thermostable system of microfocus X-ray tubes as part of a maskless X-ray nanolithograph. Keywords: X-ray nanolithography, microfocus X-ray tube, transmission-type target, matrix of anode nodes, electron bombardment heating, thermal expansion, Bosch-process.
Styles APA, Harvard, Vancouver, ISO, etc.
31

Sun, Yong, et Richard Bailey. « Tribocorrosion Behavior of γ′-Fe4N Nitride Layer Formed on Mild Steel by Plasma Nitriding in Chloride-Containing Solution ». Lubricants 11, no 7 (29 juin 2023) : 281. http://dx.doi.org/10.3390/lubricants11070281.

Texte intégral
Résumé :
Nitriding has long been used to engineer the surfaces of engineering steels to improve their surface and subsurface properties. The role of the surface compound layer (γ′-Fe4N and/or ε-Fe2-3N) in improving the tribological and corrosion-resistant properties of nitrided steels has been established. However, there have been very few studies on the response of the compound layer to tribocorrosion in corrosive environments. In this work, the tribocorrosion behavior of a 5 μm thick γ′-Fe4N nitride layer produced on mild steel (MS) by plasma nitriding has been studied in a NaCl- containing solution under various electrochemical conditions. The results show that at a cathodic potential of −700 mV (saturated calomel electrode, SCE), where mechanical wear is predominant, the total material removal (TMR) from the γ′-Fe4N layer is 37% smaller than that from the untreated MS, and at open circuit potential, TMR from the layer is 34% smaller than that from the untreated MS, while at an anodic potential of −200 (SCE), the γ′-Fe4N layer can reduce TMR from mild steel by 87%. The beneficial effect of the γ′-Fe4N nitride layer in improving the tribocorrosion behavior of mild steel is derived from its high hardness and good corrosion resistance in the test solution and its ability to resist both mechanical wear and corrosion and to reduce wear–corrosion synergism.
Styles APA, Harvard, Vancouver, ISO, etc.
32

Chang, Shih-Chia, et Jeffrey M. Kempisty. « Lift-off Methods for MEMS Devices ». MRS Proceedings 729 (2002). http://dx.doi.org/10.1557/proc-729-u2.3.

Texte intégral
Résumé :
AbstractFive different methods were explored for the formation of the lift-off mold. In the first method, a tri-level resist scheme was used to generate a lift-off mold. The lift-off mold has a vertical wall slope. In the second method, an aluminum/photoresist double layer was used as the mold material. An overhang structure is obtained by undercutting the underlying aluminum layer. In the third method, a composite layer of two different photoresists (AZ 1811 and LOR 10A) was used to form the lift-off mold. With the fast dissolution rate of the underlying LOR 10A photoresist an overhanging mask structure was obtained. Since regular photoresists were used as part of the mold material in these three lift-off methods, they are suitable for thin film materials with thickness of ≤2 μm and processing temperatures ≤100°C. For thicker device film materials and higher processing temperature (∼200°C), methods 4 and 5 using negative resists, Futurrex NR7-3000PY (∼3 μm) and SU-8 (∼50 μm), respectively, were experimented with to form the lift-off mask. The fabrication processes used in these two methods were relatively simple and a negative wall slope was readily obtained. While Futurrex photoresist is easily stripped by the resist remover provided by the manufacturer, there is no effective chemical solution available for the removal of SU-8. We found that plasma etching with a mixture of O2 and C2F6 can be used for the removal of SU-8.
Styles APA, Harvard, Vancouver, ISO, etc.
33

Matsubara, Y., K. Endo, T. Tatsumi et T. Horiuchi. « Adhesion of a-C:F during oxygen plasma annealing ». MRS Proceedings 476 (1997). http://dx.doi.org/10.1557/proc-476-19.

Texte intégral
Résumé :
AbstractFluorinated amorphous carbon (a-C:F) films sandwiched between layers of SiO2 have been proposed as an interlayer dielectric (ILD) structure in order to enhance resistance to oxygen plasma. This study describes adhesion failure mechanisms for the sandwiched fluorinated amorphous carbon film (a-C:F) structure during oxygen plasma annealing. We have found 3 failure modes: 1) capping SiO2 layer peels off, 2) thickness reduction of a-C:F by decomposition, and 3) etching phenomena at the interface between SiO2 and a-C:F by CFx outgassing from a-C:F. The outgassed CFx radicals were stored at the interfaces and the etching of SiO2 occurred during the subsequent 150°C oxygen plasma resist removal process. Thermal decomposition of a-C:F ILD sandwiched between layers of SiO2 was performed to determine the outgassed species, as well as the thickness reduction of a-C:F.
Styles APA, Harvard, Vancouver, ISO, etc.
34

Premnath, Vijay Anirudh, et Chih-Hao Chang. « Investigation of polymer template removal techniques in three-dimensional thin-shell nanolattices ». Journal of Vacuum Science & ; Technology B 41, no 6 (17 octobre 2023). http://dx.doi.org/10.1116/6.0003036.

Texte intégral
Résumé :
Recent advanced in nanofabrication has enabled various opportunities for research and development in photonic crystals, integrated circuits, and nanostructured materials. One interesting class of emerging materials is nanolattices, which consist of hollow-core, thin-shell elements fabricated using thin-film deposition on three-dimensional polymer templates. While many applications of nanolattices have been demonstrated, the residual polymer in the nanolattice can be problematic and is not well understood. This research investigates the effectiveness of different template removal techniques, including oxygen plasma etching, solvent dissolution, and thermal desorption. The rates and effectiveness of resist removal for the different techniques are quantified using spectroscopic ellipsometry, which enables precise measurement of the effective refractive index and calculation of the residual polymer. A three-phase Maxwell–Garnett effective medium model is used to calculate the residual polymer in the nanolattices. This work demonstrates that the temperature treatment is most effective at template removal, which can be used to improve the fabrication of nanolattices for mechanical, optical, and thermal applications.
Styles APA, Harvard, Vancouver, ISO, etc.
35

Boumerzoug, Mohamed, Han Xu, Richard Bersin, Peter Mascher et Ginutis Balcaitis. « Removal of Titanium Oxide Grown on Titanium Nitride and Reduction of VIA Contact Resistance using a Modern Plasma Asher ». MRS Proceedings 495 (1997). http://dx.doi.org/10.1557/proc-495-345.

Texte intégral
Résumé :
ABSTRACTIn making multi-level interconnects, a via layer is generally made of a dielectric layer grown on a TiN/AlSiCu/TiN metal stack. The via contact hole to the lower level metal is first etched after metal patterning and intermetal oxide deposition, then the resist mask for via etch is stripped in an oxygen plasma at high temperature before filling the via with tungsten or aluminum. However, during the resist stripping process, the titanium nitride (TiN) is exposed to oxygen radicals and becomes oxidized. This oxidized surface leads to high via resistance and may cause yield loss and poor adhesion of the subsequently deposited metal. Thus, the oxide layer must be removed completely before filling the via hole.Utilizing a modern asher and combining microwave downstream plasma and low damage RIE we have successfully developed an integral process to strip the resist and to remove any oxidized TiN film. This process also gives clean vias that are free of polymer residues from the etching process.Samples were characterized using Auger electron spectroscopy (AES), scanning electron microscopy (SEM), ellipsometry and via resistance measurements. The AES depth profile measurements and ellipsometry show clearly that a titanium oxide has grown onto TiN during the oxygen downstream ashing and is completely removed when adding the RIE step. The electrical measurements show that the resistance of vias cleaned with this two step process in a single tool is comparable to that of vias cleaned with Ar sputtering using a separate tool after the oxygen ashing process.
Styles APA, Harvard, Vancouver, ISO, etc.
36

Adelung, Rainer, Mady Elbahri, Shiva Kumar Rudra, Abhijit Biswas, Seid Jebril, Rainer Kunz, Sebastian Wille et Michael Scharnberg. « Employing Thin Film Failure Mechanisms to Form Templates for Nano-electronics ». MRS Proceedings 863 (2005). http://dx.doi.org/10.1557/proc-863-b7.3/o11.3.

Texte intégral
Résumé :
AbstractRecently, we showed that thin film stresses can be used to form well aligned and complex nanowire structures [1]. Within this approach we used stress to introduce cracks in a thin film. Subsequent vacuum deposition of metal leads to the formation of a metal layer on the thin film and of metal nanowires in the cracks of the film. Removal of the thin film together with the excess metal cover finishes the nanowire fabrication on the substrate. As stress can be intentionally introduced by choosing an appropriate thin film geometry that leads to a stress concentration, the cracks and consequently the nanowires can be well aligned. Meanwhile, we have demonstrated how to form thousands of parallel aligned nanowires, x-and y-junctions or nanowires with macroscopic contacts for sensor applications, simply by applying fracture mechanics in thin films. Christiansen and Gösele called this approach “constructive destruction” in a comment in Nature Materials [2]. This gives a hint how to overcome some problems of the approach, arising from the limits of thin film fracture. A generalization of the fracture approach by being “more destructive” can overcome this limitations. For example, it is difficult to form pairs of parallel wires with a nanometer distance of the pair, but a micrometer separation between the individual pairs. Structures like this are useful for many contact applications including sensor arrays or field effect transistors. As well as thin film fracture, thin film delamination can be well controlled by fracture mechanics. Our latest experiments show that the combination of both, fracture and delamination, forms an ideal shadow mask for vacuum deposition. Cracks with delaminated sides were used as templates for the deposition of pairs of parallel wires consisting out of different materials with only a few 10 nm separation. First, a metal was sputter deposited under an angle of approx. 45° through the delaminated crack, which was used as a shadow mask. Afterwards, a second deposition metal is deposited under the opposite 45° angle with respect to the sample normal, having the crack located in the middle between both deposition sources. The angle, the delamination height and the crack width determine the separation of the nanowire contacts. We present several examples which show how these mechanisms of mechanical failure of thin films can be turned into useful templates for various nanostructures. We will focus here on two thin film systems, that can be easily deposited in every lab. These are wet chemically deposited photo-resist and flash evaporated amorphous carbon. These examples are compared with finite element simulations of the thin film stress with the ANSYS program. Moreover, we show how the delamination cracks can be also used as masks for the removal of material. Channals with a width down to 20 nm produced by ion beam sputtering are shown.
Styles APA, Harvard, Vancouver, ISO, etc.
37

Guo, Hanwen, Xiaoying Chu, Yishun Guo, Jianhua Yang, Yingying Jin, Liyang Zhou, Yaou Peng, Qingying Wang, Fan Lu et Bailiang Wang. « A water transfer printing method for contact lenses surface 2D MXene modification to resist bacterial infection and inflammation ». Science Advances 10, no 15 (12 avril 2024). http://dx.doi.org/10.1126/sciadv.adl3262.

Texte intégral
Résumé :
Contact lenses (CLs) are prone to adhesion and invasion by pollutants and pathogenic bacteria, leading to infection and inflammatory diseases. However, the functionalization of CL (biological functions such as anti-fouling, antibacterial, and anti-inflammatory) and maintaining its transparency still face great challenges. In this work, as a member of the MXenes family, vanadium carbide (V 2 C) is modified onto CL via a water transfer printing method after the formation of a tightly arranged uniform film at the water surface under the action of the Marangoni effect. The coating interface is stable owing to the electrostatic forces. The V 2 C-modified CL (V 2 C@CL) maintains optical clarity while providing good biocompatibility, strong antioxidant properties, and anti-inflammatory activities. In vitro antibacterial experiments indicate that V 2 C@CL shows excellent performance in bacterial anti-adhesion, sterilization, and anti-biofilm formation. Last, V 2 C@CL displays notable advantages of bacteria elimination and inflammation removal in infectious keratitis treatment.
Styles APA, Harvard, Vancouver, ISO, etc.
38

Sandstrom, Clifford, et Tim Olson. « Mask-less Laser Direct Imaging & ; Adaptive Patterning for Fan-Out Heterogeneous Integration ». IMAPSource Proceedings 2022, DPC (14 novembre 2023). http://dx.doi.org/10.4071/001c.90153.

Texte intégral
Résumé :
Rapid growth of advanced FOWLP (fan-out wafer level packaging) or simply fan-out, is upon us as the industry moves from historical monolithic SoC (system on chip) scaling to multi-die architectures using chiplets, tiles, dielets or whatever they’re called. The emerging multi-die devices can be either homogeneous or heterogeneous or combinations of both and are being driven by today’s most advanced applications including 5G, AI, autonomous driving as well as the Internet of Things. In this paper, we will explore the use of LDI (laser direct imaging) in combination with Adaptive Patterning® as a platform for photo lithography in support of this ever more complicated packaging integration. LDI is not new to the wafer level packaging industry since Deca first introduced the concept in 2010 and went to production in 2011 in support of WLCSP (wafer level chip scale packaging). Deca collaborated with an LDI technology leader in the PCB industry, Hitachi Via Mechanics (now ADTEC) to drive the technology to the precision required for wafer level packaging, a novel concept at the time. With the long-term vision of Adaptive Patterning in mind for embedded die structures such as M-Series™ fan-out, Deca utilized the advantages of rapid design-to-manufacturing in a mask-less environment and elimination of photomask costs as initial value drivers for WLCSP. The mask-less environment further allowed designers the opportunity to change layout attributes such as thieving structures for plating, RDL routing for modeling and more in real-time. Using digital masks allowed time and expense savings with the avoidance of initial tooling cost and storage. The key challenge is using LDI photolithography was the 405nm wavelength of the approach. Conventional wafer level packaging photoresists and photo imageable dielectrics were generally designed for either broadband or i-line exposure. Some of the broadband materials performed well with LDI, while Deca worked with several leading manufacturers to develop new photo-activation packages tailored for 405nm sensitivity. A combination of classic spin-on materials as well as novel dry film photoresists were successfully used in product qualifications and high-volume production. The process flow for dry film photoresist is different than liquid spin on. The dry film process consists of lamination, exposure, coversheet removal followed by develop. Typical throughput on a lamination tool is between 40 to 60 wafers per hour and not dependent on resist thickness. The conversion time from a standard 7 to 15µm RDL film to a 50 to 150µm Cu Pillar film can be accomplished in less than 15minutes. The advantages of a dry film include high throughput of greater than 100 wafer per hour for RDL steps with imaging down to 8µm, elimination of harmful solvents, waste handling of liquid photoresist, edge bead removal and associated film thickness variation due to resist swelling. Lower cost per wafer was another key factor in utilizing dry films with LDI over liquid resist. Current dry film engineering data suggests strong imaging performance down to 4µm at the 405nm wavelength with additional work underway on 2µm and finer features. The resolution of an optical system is dependent on exposure wavelength and NA (numerical aperture). Conventional photo steppers improve their imaging performance with higher and higher levels of NA rather than migrating to shorter wavelengths due to the use of cost-effective Hg lamps. The disadvantage of high NA steppers is the reduction in DOF (depth of focus). LDI offers a high DOF due to its inherent low NA, often 2x or greater DOF as compared to steppers. A higher DOF becomes critical as linewidths are scaled ever smaller upon the inherent imperfect planarity of organic FOWLP structures. A new generation of LDI tools are coming to market with enhanced capability to achieve 2µm lines and spaces and below utilizing 405nm or mixed wavelengths including 375nm. The shorter wavelength option opens the door for using more broadly available i-line sensitive materials. A breakthrough capability of LDI is the elimination of reticle size limits since there are no glass photomasks used in the process. Industry leading steppers are forced to use reticle stitching as package body size grows above the typical range of 16mm to 24mm. Several recent customer-driven designs for heterogeneous integration exceed 35mm on a side requiring multiple levels of reticle stitching which significantly reduces factory throughput driving up product cost. Using Adaptive Patterning design tools including AP Studio, product designers are limited only by the physical wafer or panel size where packages devices could grow to 300mm x 300mm if desired using a 600mm x 600mm manufacturing format. Another key LDI advantage is the ability to utilize a unique optimized design per wafer to precisely overcome embedded die shift related to natural variation in die placement and compression molding. Adaptive Patterning design tools are utilized by package designers to establish rules for Adaptive Alignment, Adaptive Routing or Adaptive Metal Fill for each package design. Within the presentation, the complete Adaptive Patterning process will be explained for a straightforward understanding of how complex heterogeneous multi-chiplet systems can be constructed in a cost-effective manner with optimum design rules and assurance of high yields in manufacturing. A survey of currently available LDI tools will be presented and a few examples of advanced M-Series fan-out technologies based heterogeneous chiplet-based products designed for LDI and Adaptive Patterning will be shared.
Styles APA, Harvard, Vancouver, ISO, etc.
39

Borini, Stefano, Andrea M. Rossi, Luca Boarino et Giampiero Amato. « Etching Silicon Through an Effective Nanomask : An Electrochemical Way to Nanomachining ». MRS Proceedings 872 (2005). http://dx.doi.org/10.1557/proc-872-j13.9.

Texte intégral
Résumé :
AbstractWe present a novel approach to silicon nanomachining, based on the electrochemical etching of the material through a nanopatterned mask.Combining a porous silicon (PS) buffer layer with cross-linked poly(methyl methacrylate) (PMMA) we have obtained masks which show high resistance to the electrochemical etching. PMMA is normally dissolved in a HF/EtOH mixture, but it becomes resistant to such a solution after cross-linking of the polymer. This can be achieved by high-dose electron irradiation in a Scanning Electron Microscope (SEM), obtaining a mask for the subsequent etching. Anyway, due to the strong electric field across the masking layer during the electrochemical process, time duration of such a mask is limited. We demonstrate that the presence of a highly porous silicon thin film lying under the resist leads to an evident improvement of the masking power. A final PS removal leads to the formation of silicon micro- and nanostructures in relief, such as microtips and nanomolds. Thus, we have at hand a simple silicon nanomachining process, where the nanofeatures written by the electron beam in the SEM are transferred to the bulk material through a short anodization step in acid solution. This may be a useful alternative method for fabricating nanodevice elements, such as nanofluidic channels or field emitter arrays.
Styles APA, Harvard, Vancouver, ISO, etc.
40

Hockett, R. S., M. H. Herman, X. C. Mu et Li-Jia Ma. « Investigations of Residual Chlorine on Etched AlCu Metal Lines by Total Reflection X-Ray Fluorescence (TXRF) ». MRS Proceedings 225 (1991). http://dx.doi.org/10.1557/proc-225-329.

Texte intégral
Résumé :
ABSTRACTThe present trend in the silicon IC industry is toward the replacement of AlSi metallization by AlCu(Si). However, the addition of Cu to Al(Si) increases the sensitivity of the metallization to moisture corrosion. Total reflection X-Ray Fluorescence (TXRF) with a detection limit in the 1012 atoms/cm2 range is used to measure the residual Cl on Al(Cu) as a function of processing. The results indicate that significant Cl can remain even after standard ash and chemical solvent resist removal. Furthermore, a need is identified to study some unexpected sources of Cl (e.g., air) and the chemistry of its removal from Al films, and this can be now be done easily using TXRF.
Styles APA, Harvard, Vancouver, ISO, etc.
41

Tian, Xiaoli, Fu Li, Zhenyuan Tang, Song Wang, Kangkang Weng, Dan Liu, Shaoyong Lu et al. « Crosslinking-induced patterning of MOFs by direct photo- and electron-beam lithography ». Nature Communications 15, no 1 (4 avril 2024). http://dx.doi.org/10.1038/s41467-024-47293-6.

Texte intégral
Résumé :
AbstractMetal-organic frameworks (MOFs) with diverse chemistry, structures, and properties have emerged as appealing materials for miniaturized solid-state devices. The incorporation of MOF films in these devices, such as the integrated microelectronics and nanophotonics, requires robust patterning methods. However, existing MOF patterning methods suffer from some combinations of limited material adaptability, compromised patterning resolution and scalability, and degraded properties. Here we report a universal, crosslinking-induced patterning approach for various MOFs, termed as CLIP-MOF. Via resist-free, direct photo- and electron-beam (e-beam) lithography, the ligand crosslinking chemistry leads to drastically reduced solubility of colloidal MOFs, permitting selective removal of unexposed MOF films with developer solvents. This enables scalable, micro-/nanoscale (≈70 nm resolution), and multimaterial patterning of MOFs on large-area, rigid or flexible substrates. Patterned MOF films preserve their crystallinity, porosity, and other properties tailored for targeted applications, such as diffractive gas sensors and electrochromic pixels. The combined features of CLIP-MOF create more possibilities in the system-level integration of MOFs in various electronic, photonic, and biomedical devices.
Styles APA, Harvard, Vancouver, ISO, etc.
42

Hanevelt, Julia, Jelle F. Huisman, Laura W. Leicher, Miangela M. Lacle, Milan C. Richir, Paul Didden, Joost M. J. Geesing et al. « Limited wedge resection for T1 colon cancer (LIMERIC-II trial) – rationale and study protocol of a prospective multicenter clinical trial ». BMC Gastroenterology 23, no 1 (19 juin 2023). http://dx.doi.org/10.1186/s12876-023-02854-9.

Texte intégral
Résumé :
Abstract Background The sole presence of deep submucosal invasion is shown to be associated with a limited risk of lymph node metastasis. This justifies a local excision of suspected deep submucosal invasive colon carcinomas (T1 CCs) as a first step treatment strategy. Recently Colonoscopy-Assisted Laparoscopic Wedge Resection (CAL-WR) has been shown to be able to resect pT1 CRCs with a high R0 resection rate, but the long term outcomes are lacking. The aim of this study is to evaluate the safety, effectiveness and long-term oncological outcomes of CAL-WR as primary treatment for patients with suspected superficial and also deeply-invasive T1 CCs. Methods In this prospective multicenter clinical trial, patients with a macroscopic and/or histologically suspected T1 CCs will receive CAL-WR as primary treatment in order to prevent unnecessary major surgery for low-risk T1 CCs. To make a CAL-WR technically feasible, the tumor may not include > 50% of the circumference and has to be localized at least 25 cm proximal from the anus. Also, there should be sufficient distance to the ileocecal valve to place a linear stapler. Before inclusion, all eligible patients will be assessed by an expert panel to confirm suspicion of T1 CC, estimate invasion depth and subsequent advise which local resection techniques are possible for removal of the lesion. The primary outcome of this study is the proportion of patients with pT1 CC that is curatively treated with CAL-WR only and in whom thus organ-preservation could be achieved. Secondary outcomes are 1) CAL-WR’s technical success and R0 resection rate for T1 CC, 2) procedure-related morbidity and mortality, 3) 5-year overall and disease free survival, 4) 3-year metastasis free survival, 5) procedure-related costs and 6) impact on quality of life. A sample size of 143 patients was calculated. Discussion CAL-WR is a full-thickness local resection technique that could also be effective in removing pT1 colon cancer. With the lack of current endoscopic local resection techniques for > 15 mm pT1 CCs with deep submucosal invasion, CAL-WR could fill the gap between endoscopy and major oncologic surgery. The present study is the first to provide insight in the long-term oncological outcomes of CAL-WR. Trial registration CCMO register (ToetsingOnline), NL81497.075.22, protocol version 2.3 (October 2022).
Styles APA, Harvard, Vancouver, ISO, etc.
43

Maras, Steven. « Reflections on Adobe Corporation, Bill Viola, and Peter Ramus while Printing Lecture Notes ». M/C Journal 8, no 2 (1 juin 2005). http://dx.doi.org/10.5204/mcj.2338.

Texte intégral
Résumé :
In March 2002, I was visiting the University of Southern California. One night, as sometimes happens on a vibrant campus, two interesting but very different public lectures were scheduled against one another. The first was by the co-chairman and co-founder of Adobe Systems Inc., Dr. John E. Warnock, talking about books. The second was a lecture by acclaimed video artist Bill Viola. The first event was clearly designed as a networking forum for faculty and entrepreneurs. The general student population was conspicuously absent. Warnock spoke of the future of Adobe, shared stories of his love of books, and in an embodiment of the democratising potential of Adobe software (and no doubt to the horror of archivists in the room) he invited the audience to handle extremely rare copies of early printed works from his personal library. In the lecture theatre where Viola was to speak the atmosphere was different. Students were everywhere; even at the price of ten dollars a head. Viola spoke of time and memory in the information age, of consciousness and existence, to an enraptured audience—and showed his latest work. The juxtaposition of these two events says something about our cultural moment, caught between a paradigm modelled on reverence toward the page, and a still emergent sense of medium, intensity and experimentation. But, the juxtaposition yields more. At one point in Warnock’s speech, in a demonstration of the ultra-high resolution possible in the next generation of Adobe products, he presented a scan of a manuscript, two pages, two columns per page, overflowing with detail. Fig. 1. Dr John E. Warnock at the Annenberg Symposium. Photo courtesy of http://www.annenberg.edu/symposia/annenberg/2002/photos.php Later, in Viola’s presentation, a fragment of a video work, Silent Mountain (2001) splits the screen in two columns, matching Warnock’s text: inside each a human figure struggles with intense emotion, and the challenges of bridging the relational gap. Fig. 2. Images from Bill Viola, Silent Mountain (2001). From Bill Viola, THE PASSIONS. The J. Paul Getty Museum, Los Angeles in Association with The National Gallery, London. Ed. John Walsh. p. 44. Both events are, of course, lectures. And although they are different in style and content, a ‘columnular’ scheme informs and underpins both, as a way of presenting and illustrating the lecture. Here, it is worth thinking about Pierre de la Ramée or Petrus (Peter) Ramus (1515-1572), the 16th century educational reformer who in the words of Frances Yates ‘abolished memory as a part of rhetoric’ (229). Ramus was famous for transforming rhetoric through the introduction of his method or dialectic. For Walter J. Ong, whose discussion of Ramism we are indebted to here, Ramus produced the paradigm of the textbook genre. But it is his method that is more noteworthy for us here, organised through definitions and divisions, the distribution of parts, ‘presented in dichotomized outlines or charts that showed exactly how the material was organised spatially in itself and in the mind’ (Ong, Orality 134-135). Fig. 3. Ramus inspired study of Medicine. Ong, Ramus 301. Ong discusses Ramus in more detail in his book Ramus: Method, and the Decay of Dialogue. Elsewhere, Sutton, Benjamin, and I have tried to capture the sense of Ong’s argument, which goes something like the following. In Ramus, Ong traces the origins of our modern, diagrammatic understanding of argument and structure to the 16th century, and especially the work of Ramus. Ong’s interest in Ramus is not as a great philosopher, nor a great scholar—indeed Ong sees Ramus’s work as a triumph of mediocrity of sorts. Rather, his was a ‘reformation’ in method and pedagogy. The Ramist dialectic ‘represented a drive toward thinking not only of the universe but of thought itself in terms of spatial models apprehended by sight’ (Ong, Ramus 9). The world becomes thought of ‘as an assemblage of the sort of things which vision apprehends—objects or surfaces’. Ramus’s teachings and doctrines regarding ‘discoursing’ are distinctive for the way they draw on geometrical figures, diagrams or lecture outlines, and the organization of categories through dichotomies. This sets learning up on a visual paradigm of ‘study’ (Ong, Orality 8-9). Ramus introduces a new organization for discourse. Prior to Ramus, the rhetorical tradition maintained and privileged an auditory understanding of the production of content in speech. Central to this practice was deployment of the ‘seats’, ‘images’ and ‘common places’ (loci communes), stock arguments and structures that had accumulated through centuries of use (Ong, Orality 111). These common places were supported by a complex art of memory: techniques that nourished the practice of rhetoric. By contrast, Ramism sought to map the flow and structure of arguments in tables and diagrams. Localised memory, based on dividing and composing, became crucial (Yates 230). For Ramus, content was structured in a set of visible or sight-oriented relations on the page. Ramism transformed the conditions of visualisation. In our present age, where ‘content’ is supposedly ‘king’, an archaeology of content bears thinking about. In it, Ramism would have a prominent place. With Ramus, content could be mapped within a diagrammatic page-based understanding of meaning. A container understanding of content arises. ‘In the post-Gutenberg age where Ramism flourished, the term “content”, as applied to what is “in” literary productions, acquires a status which it had never known before’ (Ong, Ramus 313). ‘In lieu of merely telling the truth, books would now in common estimation “contain” the truth, like boxes’ (313). For Ramus, ‘analysis opened ideas like boxes’ (315). The Ramist move was, as Ong points out, about privileging the visual over the audible. Alongside the rise of the printing press and page-based approaches to the word, the Ramist revolution sought to re-work rhetoric according to a new scheme. Although spatial metaphors had always had a ‘place’ in the arts of memory—other systems were, however, phonetically based—the notion of place changed. Specific figures such as ‘scheme’, ‘plan’, and ‘table’, rose to prominence in the now-textualised imagination. ‘Structure’ became an abstract diagram on the page disconnected from the total performance of the rhetor. This brings us to another key aspect of the Ramist reformation: that alongside a spatialised organisation of thought Ramus re-works style as presentation and embellishment (Brummett 449). A kind of separation of conception and execution is introduced in relation to performance. In Ramus’ separation of reason and rhetoric, arrangement and memory are distinct from style and delivery (Brummett 464). While both dialectic and rhetoric are re-worked by Ramus in light of divisions and definitions (see Ong, Ramus Chs. XI-XII), and dialectic remains a ‘rhetorical instrument’ (Ramus 290), rhetoric becomes a unique site for simplification in the name of classroom practicality. Dialectic circumscribes the space of learning of rhetoric; invention and arrangement (positioning) occur in advance (289). Ong’s work on the technologisation of the word is strongly focused on identifying the impact of literacy on consciousness. What Ong’s work on Ramus shows is that alongside the so-called printing revolution the Ramist reformation enacts an equally if not more powerful transformation of pedagogic space. Any serious consideration of print must not only look at the technologisation of the word, and the shifting patterns of literacy produced alongside it, but also a particular tying together of pedagogy and method that Ong traces back to Ramus. If, as is canvassed in the call for papers of this issue of M/C Journal, ‘the transitions in print culture are uneven and incomplete at this point’, then could it be in part due to the way Ramism endures and is extended in electronic and hypermedia contexts? Powerpoint presentations, outlining tools (Heim 139-141), and the scourge of bullet points, are the most obvious evidence of greater institutionalization of Ramist knowledge architecture. Communication, and the teaching of communication, is now embedded in a Ramist logic of opening up content like a box. Theories of communication draw on so-called ‘models’ that draw on the representation of the communication process through boxes that divide and define. Perhaps in a less obvious way, ‘spatialized processes of thought and communication’ (Ong, Ramus 314) are essential to the logic of flowcharting and tracking new information structures, and even teaching hypertext (see the diagram in Nielsen 7): a link puts the popular notion that hypertext is close to the way we truly think into an interesting perspective. The notion that we are embedded in print culture is not in itself new, even if the forms of our continual reintegration into print culture can be surprising. In the experience of printing, of the act of pressing the ‘Print’ button, we find ourselves re-integrated into page space. A mini-preview of the page re-assures me of an actuality behind the actualizations on the screen, of ink on paper. As I write in my word processing software, the removal of writing from the ‘element of inscription’ (Heim 136) —the frictionless ‘immediacy’ of the flow of text (152) — is conditioned by a representation called the ‘Page Layout’, the dark borders around the page signalling a kind of structures abyss, a no-go zone, a place, beyond ‘Normal’, from which where there is no ‘Return’. At the same time, however, never before has the technological manipulation of the document been so complex, a part of a docuverse that exists in three dimensions. It is a world that is increasingly virtualised by photocopiers that ‘scan to file’ or ‘scan to email’ rather than good old ‘xeroxing’ style copying. Printing gives way to scanning. In a perverse extension of printing (but also residually film and photography), some video software has a function called ‘Print to Video’. That these super-functions of scanning to file or email are disabled on my department photocopier says something about budgets, but also the comfort with which academics inhabit Ramist space. As I stand here printing my lecture plan, the printer stands defiantly separate from the photocopier, resisting its colonizing convergence even though it is dwarfed in size. Meanwhile, the printer demurely dispenses pages, one at a time, face down, in a gesture of discretion or perhaps embarrassment. For in the focus on the pristine page there is a Puritanism surrounding printing: a morality of blemishes, smudges, and stains; of structure, format and order; and a failure to match that immaculate, perfect argument or totality. (Ong suggests that ‘the term “method” was appropriated from the Ramist coffers and used to form the term “methodists” to designate first enthusiastic preachers who made an issue of their adherence to “logic”’ (Ramus 304).) But perhaps this avoidance of multi-functionality is less of a Ludditism than an understanding that the technological assemblage of printing today exists peripherally to the ideality of the Ramist scheme. A change in technological means does not necessarily challenge the visile language that informs our very understanding of our respective ‘fields’, or the ideals of competency embodied in academic performance and expression, or the notions of content we adopt. This is why I would argue some consideration of Ramism and print culture is crucial. Any ‘true’ breaking out of print involves, as I suggest, a challenge to some fundamental principles of pedagogy and method, and the link between the two. And of course, the very prospect of breaking out of print raises the issue of its desirability at a time when these forms of academic performance are culturally valued. On the surface, academic culture has been a strange inheritor of the Ramist legacy, radically furthering its ambitions, but also it would seem strongly tempering it with an investment in orality, and other ideas of performance, that resist submission to the Ramist ideal. Ong is pessimistic here, however. Ramism was after all born as a pedagogic movement, central to the purveying ‘knowledge as a commodity’ (Ong, Ramus 306). Academic discourse remains an odd mixture of ‘dialogue in the give-and-take Socratic form’ and the scheduled lecture (151). The scholastic dispute is at best a ‘manifestation of concern with real dialogue’ (154). As Ong notes, the ideals of dialogue have been difficult to sustain, and the dominant practice leans towards ‘the visile pole with its typical ideals of “clarity”, “precision”, “distinctness”, and “explanation” itself—all best conceivable in terms of some analogy with vision and a spatial field’ (151). Assessing the importance and after-effects of the Ramist reformation today is difficult. Ong describes it an ‘elusive study’ (Ramus 296). Perhaps Viola’s video, with its figures struggling in a column-like organization of space, structured in a kind of dichotomy, can be read as a glimpse of our existence in or under a Ramist scheme (interestingly, from memory, these figures emote in silence, deprived of auditory expression). My own view is that while it is possible to explore learning environments in a range of ways, and thus move beyond the enclosed mode of study of Ramism, Ramism nevertheless comprises an important default architecture of pedagogy that also informs some higher level assumptions about assessment and knowledge of the field. Software training, based on a process of working through or mimicking a linked series of screenshots and commands is a direct inheritor of what Ong calls Ramism’s ‘corpuscular epistemology’, a ‘one to one correspondence between concept, word and referent’ (Ong, Orality 168). My lecture plan, providing an at a glance view of my presentation, is another. The default architecture of the Ramist scheme impacts on our organisation of knowledge, and the place of performance with in it. Perhaps this is another area where Ong’s fascinating account of secondary orality—that orality that comes into being with television and radio—becomes important (Orality 136). Not only does secondary orality enable group-mindedness and communal exchange, it also provides a way to resist the closure of print and the Ramist scheme, adapting knowledge to new environments and story frameworks. Ong’s work in Orality and Literacy could thus usefully be taken up to discuss Ramism. But this raises another issue, which has to do with the relationship between Ong’s two books. In Orality and Literacy, Ong is careful to trace distinctions between oral, chirographic, manuscript, and print culture. In Ramus this progression is not as prominent— partly because Ong is tracking Ramus’ numerous influences in detail —and we find a more clear-cut distinction between the visile and audile worlds. Yates seems to support this observation, suggesting contra Ong that it is not the connection between Ramus and print that is important, but between Ramus and manuscript culture (230). The interconnections but also lack of fit between the two books suggests a range of fascinating questions about the impact of Ramism across different media/technological contexts, beyond print, but also the status of visualisation in both rhetorical and print cultures. References Brummett, Barry. Reading Rhetorical Theory. Fort Worth: Harcourt, 2000. Heim, Michael. Electric Language: A Philosophical Study of Word Processing. New Haven: Yale UP, 1987. Maras, Steven, David Sutton, and with Marion Benjamin. “Multimedia Communication: An Interdisciplinary Approach.” Information Technology, Education and Society 2.1 (2001): 25-49. Nielsen, Jakob. Multimedia and Hypertext: The Internet and Beyond. Boston: AP Professional, 1995. Ong, Walter J. Orality and Literacy: The Technologizing of the Word. London: Methuen, 1982. —. Ramus: Method, and the Decay of Dialogue. New York: Octagon, 1974. The Second Annual Walter H. Annenberg Symposium. 20 March 2002. http://www.annenberg.edu/symposia/annenberg/2002/photos.php> USC Annenberg Center of Communication and USC Annenberg School for Communication. 22 March 2005. Viola, Bill. Bill Viola: The Passions. Ed. John Walsh. London: The J. Paul Getty Museum, Los Angeles in Association with The National Gallery, 2003. Yates, Frances A. The Art of Memory. Harmondsworth: Penguin, 1969. Citation reference for this article MLA Style Maras, Steven. "Reflections on Adobe Corporation, Bill Viola, and Peter Ramus while Printing Lecture Notes." M/C Journal 8.2 (2005). echo date('d M. Y'); ?> <http://journal.media-culture.org.au/0506/05-maras.php>. APA Style Maras, S. (Jun. 2005) "Reflections on Adobe Corporation, Bill Viola, and Peter Ramus while Printing Lecture Notes," M/C Journal, 8(2). Retrieved echo date('d M. Y'); ?> from <http://journal.media-culture.org.au/0506/05-maras.php>.
Styles APA, Harvard, Vancouver, ISO, etc.
44

Levey, Nick. « “Analysis Paralysis” : The Suspicion of Suspicion in the Fiction of David Foster Wallace ». M/C Journal 15, no 1 (31 octobre 2011). http://dx.doi.org/10.5204/mcj.383.

Texte intégral
Résumé :
Blaise Pascal once offered the following advice to those perennially worried about knowing fact from fiction: “how few things can be demonstrated! Proofs only convince the mind; custom provides the strongest and most firmly held proofs” (148). The concern about whether or not God existed was for Pascal an unnecessary anxiety: the question couldn’t be answered by human knowledge, and so ultimately one just had to “wager” on whatever stood to be most beneficial, act as if this chosen answer was true, and the mind would eventually fall into line. For Pascal, if one stood to gain from believing in the truth of an idea then the great problems of epistemology could be reduced to a relatively simple and pragmatic calculation of benefit. Doubt, suspicion, and all the attendant epistemological worries would only count as wasted time.It might at first seem surprising that this somewhat antiquated idea of Pascal’s, conceived in seventeenth-century France, appears at the core of a novel by a writer considered to be the quintessential “modern” author, David Foster Wallace. But consider the following advice offered to a recovering drug addict in Wallace’s 1996 novelInfinite Jest. To reap the benefits of the AA program, Don Gately, one of the central characters of the novel, is told by resident counsellor Gene M to imagine he is holding a box of Betty Crocker Cake Mix. The box of cake mix represents Boston AA. Gately is advised that the “box came with directions on the side any eight-year-old could read”: Gene M. said all Gately had to do was for fuck’s sake give himself a break and relax and for once shut up and just follow the directions on the side of the fucking box. It didn’t matter one fuckola whether Gately like believed a cake would result, or whether he understood the like fucking baking-chemistry of howa cake would result: if he just followed the motherfucking directions, and had sense enough to get help from slightly more experienced bakers to keep from fucking the directions up if he got confused somehow, but basically the point was if he just followed the childish directions, a cake would result. He’d have his cake. (467) This advice indeed seems lifted from Pascal almost verbatim (plus or minus a few turns of phrase, of course):Learn from those who have been bound like you, and who now wager all they have. They are people who know the road you want to follow and have been cured of the affliction of which you want to be cured. Follow the way by which they began ... (Pascal 156).While the Pascalian influence on Wallace’s work is perhaps interesting in its own right, and there are certainly more extensive and capable analyses of it to be done than mine, I invoke it here to highlight a particular emphasis in Wallace’s work that I think exceeds the framework through which it is usually understood. Wallace’s fiction is commonly considered an attack on irony, being supposedly at the vanguard of a movement in recent American literature that Adam Kelly, in an illuminating analysis, has called the “New Sincerity” (131). But before anything else irony is a particular trope of understanding, a way of situating oneself in regards to an object of knowledge, and so Wallace’s work needs not only to be understood in terms of what a culture considers unhip, trite, and sentimental, but how it comes to decide upon those things at all, how it chooses to understand its reality. Inspired by the Pascalian influence apparent in Wallace’s portrayal of the Alcoholics Anonymous program, I intend to shift the focus away from issues of irony and sincerity and instead consider the importance of the epistemological tropes of suspicion and trust in reading Infinite Jest. More than anything else Wallace’s depiction of the AA program tells us he is interested, like Pascal, in the existential implications of suspicion, in what might be lost in following doubt to its most “radical” conclusions. I SuspicionIt is fruitful to view Western intellectual practice as exhibiting suspicious tendencies. From Descartes’s “hyperbolic doubt,” the “hermeneutics of suspicion” that Ricœur and Foucault see coming out of the legacy of Marx, Nietzsche, and Freud, to the endless “paranoia of the postmodern” that typifies recent academic trends (Bywater 79), the refusal to trust the veracity of surfaces has been a driving force in post-Enlightenment thought, becoming largely inextricable from how we understand the world. As a mode of critique, suspicion has a particular anxiety about the way fiction masquerades as truth. When a suspicious mind reads a given object, be it an advertisement, a novel, a film, a supermarket, or an egg carton, it most often proceeds by first separating the text into what Paul Ricœur calls an “architecture of meaning” (18), defining those elements it considers fictive and those it considers truer, more essential, in order to locate what it considers “the intentional structure of double meaning” (Ricœur 9). Beneath the fictive surface of a novel, for example, it might find hidden the “truer” forces of social repression and patriarchy. Behind the innocence of a bedtime tale it might discern the truth of the placating purpose of story, or the tyranny of naïve narrative closure, the fantasies of teleology and final consonance. And behind Pascal’s wager it might find a weak submission to ideological fictions, a confirmation of the processes of social conditioning.Over the years suspicion has doubtless proved itself a crucial resource for various politics of resistance, for challenging ossified structures of knowledge, and for exposing heinous fictions that definitely needed exposing. But some contend that these once fruitful intellectual practices have become so deeply entrenched that they are now the things to be suspiciously overcome. Rather than being a subversive tactic of liberation, the “routinisation” of suspicion can stand to mark a hermeneutic stasis. It can even, as Bruno Latour argues, mire important social and ecological issues in counterproductive doubt, the most obvious example being the tiresome “debates” about global warming:the danger would no longer be coming from an excessive confidence in ideological arguments posturing as matters of fact—as we have learned to combat so efficiently in the past—but from an excessive distrust of good matters of fact disguised as bad ideological biases! (Latour 227) The work of David Foster Wallace can be considered another example of such a discourse, one that definitely admits suspicion’s hermeneutic force, but is a little uneasy with its predominance. While Wallace’s work is most commonly understood in relation to irony, irony itself, as I have suggested, can in turn be understood as related to a subtending culture of suspicion and cynicism. In his 1993 essay “E Unibus Pluram: Television and U.S. Fiction,” Wallace notes a complex interaction between knowledge, suspicion, art, and televisual culture, in which a particular rendering of irony—a mistrust in clichéd sentiment and all those words we now so confidently put between “shudder” quotes—is commoditised and exploited in order to constantly provide the psychological payoffs of knowingness, those feelings of superiority, safety, and power that come from suspiciously seeing through to the “truth” of things. In Wallace’s reading, ostensibly postmodern advertisements draw attention to their fictive layers to make viewers feel attuned to the supposed truth of their intent. But this access to the “truth” is itself just another fiction aimed to mislead them into commercial pliancy:[TV can] ease that painful tension between Joe’s need to transcend the crowd and his status as Audience member. For to the extent that TV can flatter Joe about “seeing through” the pretentiousness and hypocrisy of outdated values, it can induce in him precisely the feeling of canny superiority it’s taught him to crave, and can keep him dependent on the cynical TV-watching that alone affords this feeling. (Wallace 180) The ironic viewer who would stand above these deliberately naive appeals would then also, and perhaps before anything else, be a suspicious reader, someone predisposed to seeing through the “surface” of a text. Irony, in these examples, would even be alike to the effect gained from “successful” suspicion, something like its reward, rather than an epistemological mode in itself. While in his essay Wallace ultimately intends that his critique of such tendencies will highlight the way much contemporary fiction struggles to subvert this culture, and thus we cannot help but look to his own work to see how it supposedly “attacks” irony, it is also just as crucial to consider its embedded critique of suspicious hermeneutics.II Trust In Infinite Jest’s portrayal of Boston’s Alcoholics and Narcotics Anonymous programs, Wallace attempts to propose a kind of neo-Pascalian “wager.” And like Pascal’s, Wallace’s is based on the willed performance of that most critically maligned of concepts, trust: that is, a willingness to become, like Pascal, blasé with truth as long as it stands to be beneficial. Within the novel the fictitious Ennet Drug and Alcohol House, along with the adjacent Enfield Tennis Academy, is staged as a school of personal (re)development, dramatising approaches to self-help in the damaged landscape of the Year of the Depend Adult Undergarment’s Boston. And it is here where Don Gately, the novel’s unlikely hero, has ended up on his quest to escape the “spider” of addiction. As it openly admits, Alcoholics Anonymous is an easy target for a suspicious mode of thought bent on locating fictions because it “literally makes no sense” (368). But like Pascal, Wallace’s AA submits the problem of truth and error to a more primary consideration of benefit, and celebrates the power of language and custom to create realities, rather than being suspicious of this process of linguistic mediation. So it is a system, like signification itself, that functions on “the carrot-and-donkey aspect of trudging to Meetings only to be told to trudge to still more Meetings” (1001); like any transcendental signifier, the revelations it hints at can never truly arrive. It is also based on assertions that “do not make anything resembling rational sense” (1002). For example, Joelle van Dyne battles with the AA precept “I’m Here But For the Grace of God.” She finds the phrase is literally senseless, and regardless of whether she hears it or not it’s meaningless, and that the foamy enthusiasm with which these folks can say what in fact means nothing at all makes her want to put her head in a Radarange. (366) But perhaps the strongest reason Joelle feels uncomfortable with the present example is that she senses in its obvious untruth the potential truth of all meaning’s fictitiousness, how all sense might just be made up of nonsense of one form or another. Within the AA program these words are a means to an end, rather than something to be resisted or deconstructed.To exist within Infinite Jest’s AA program is thus to be uncomfortably close to the linguistic production of reality, to work at meaning’s coalface, exposed to the flames of its fictitiousness, but all the while being forced to deny this very vista. So while AA is a process firmly against the mechanisms of denial (one of its favourite slogans is “Denial is not a river in Egypt” [272]), it is also based on a paradoxical imperative to deny the status of meaning as a production, as well as the denial of the significance of this paradox: For me, the slogan [Analysis-Paralysis] means there’s no set way to argue intellectual-type stuff about the Program [...] You can’t think about it like an intellectual thing [...] You can analyse it til you’re breaking tables with your forehead and find a cause to walk away, back Out There, where the Disease is. Or you can stay and hang in and do the best you can. (1002) Although it is common knowledge that its precepts are full of logical contradiction and impasse, that it is a blatantly fictitious enterprise, the difficulty which Wallace’s portrayal poses, both for his characters and for his readers schooled in suspicious hermeneutics, is that as a process of healing the AA program somehow seems to work with great efficacy. Enter the redemption of Don Gately.Despite his initial reluctance to embrace the program’s undertakings, much to his surprise Gately finds it having a definite effect: he “all of a sudden realised that quite a few days had gone by since he’d even thought about Demerol or Talwin or even weed” (467). The bracketing of the desire to know and interpret, and the willed trust in the efficacy of a process that one cannot know by necessity, initially frustrates him, and even makes him suspicious: “He couldn’t believe it. He wasn’t Grateful so much as kind of suspicious about it, the Removal [of his addiction]” (468). And all this can definitely be intellectually uncomfortable for a reader well-versed in suspicious hermeneutics, let alone the somewhat unintellectual Gately:It did, yes, tentatively seem maybe actually to be working, but Gately couldn’t for the life of him figure out how just sitting on haemorrhoid-hostile folding chairs every night looking at nose-pores and listening to clichés could work. Nobody’s ever been able to figure AA out, is another binding commonality. (349)Ultimately the AA program presents the novel’s hero and its readers with an impasse, a block to what one knows and can critique, refuting the basic assumption that links narrative progression and change with the acquisition of knowledge. While others in AA seek to understand and debunk it, they also significantly fail to achieve the kind of recovery experienced by Gately. As Elizabeth Freudenthal suggests, “despite the problems one may have with AA as a vehicle for healthy living, Gately’s mode of fighting addiction is the only one in the novel that actually works” (191). And while Freudenthal suggests that Gately’s success comes through a ritual “anti-interiority,” a “mode of identity founded in the material world of both objects and biological bodies and divested from an essentialist notion of inner emotional, psychological, and spiritual life” (192), to me it seems that were Gately unable to resist the pleasures of the suspicious mind then little of his “abiding” in the exterior world would be possible. Ultimately, what Gately achieves comes through a kind of epistemological “trust.”III Reading TrustfullyBy occupying such a central place in the narrative, this neo-Pascalian wager around which the novel’s AA program is built is obviously intended to bear not only on its characters, but on how the novel is read. So how might we also “learn” from such Pascalian gambits? How might we read the novel without suspicion? What might we gain by becoming Don Gately? What, on the other hand, might we lose? While this essay is far too short to conduct this kind of investigation in full, a few points might still be raised in lieu of a proper conclusion.By openly submitting to his ignorance of what his actions mean, Gately is able to approach success, conclusion, and fulfillment. What the novel’s ending has in store for him is another question altogether, but Freudenthal views Gately’s closing scenes as the apotheosis of his “anti-intellectual endeavor” (206). Gately’s narrative thus also presents a challenge to readers thoroughly led by suspicious hermeneutics, and encourages us, if we are to accept this notion that is key to Infinite Jest (but we can, of course, refuse not to), to place ourselves in the position of the AA attendee, as a subject of the text’s discourse, not in possession of knowledge through which to critique it and scale that “architecture of meaning.” Many aspects of the novel of course impel us to read suspiciously, to gather clues like detectives, to interrogate the veracity of claims. Consider, for example, the compounded conflicting accounts of whether Joelle van Dyne has been horribly disfigured by acid, or is sublimely beautiful (compare, for instance, the explanation given on 538 with that on 795). Yet ultimately, recalling the AA ethos, the narrative makes it difficult for us to successfully execute these suspicious reading practices. Similar to a text like Thomas Pynchon’s Gravity’s Rainbow, that for Brian McHale ultimately resists any attempt to answer the many questions it poses (90-91), Infinite Jest frequently invokes a logic of what we might call epistemological equivocation. Either the veil-wearing Joelle van Dyne is hideously and improbably deformed or is superlatively beautiful; either AA is a vapid institution of brainwashing or is the key to recovery from substance abuse; either the novel’s matriarch, Avril Incandenza, is a sinister “black widow” or a superlatively caring mother. The list goes on.To some extent, the plethora of conflicting accounts simply engages an “innocent” readerly curiosity. But regardless of the precise nature of this hermeneutic desire stimulated by the text, one cannot help but feel, as Marshall Boswell suggests, that “Wallace’s point seems to be that these issues are not the issue” (175). If we read the novel attempting to harmonise these elements, interrogating the reliability of the given textual evidence, we will be sorely disappointed, if not doomed to the “analysis paralysis” that is much feared in the novel’s AA program. While one of the pleasures Wallace’s novel offers readers is the encouragement to participate actively in the text, it is also something it is wary of. And this is where the rub of the book lies. Just like in AA, we can potentially keep analysing its ambiguities forever; it is indeed designed to be pleasurable in just this way. But it is also intended, at least so Wallace tells us, to resist the addictive nature of pure entertainment:The original title was A Failed Entertainment. The idea is that the book is structured as an entertainment that doesn’t work [...]. And the tension of the book is to try to make it at once extremely entertaining—and also sort of warped, and to sort of shake the reader awake about some of the things that are sinister in entertainment. (Wallace in Lipsky 79)If we consider what it might mean to view the book as a “Failed Entertainment,” and consider what it is we love to do when reading suspiciously, we can then see that it is perhaps intended to steer us away from trying to decode it, especially when it is constantly suggested to us that it is this effort of analysis that tends to move one out of the immediacy of a given moment. The fact that “nobody’s ever been able to figure AA out” (349), yet it still indubitably works, seems to suggests how we are to approach the novel.But what are we offered instead of these pleasures of suspicious reading? Perhaps, like the AA attendee, the novel wants us to learn to listen to what is already in front of us: for the AA member it is all those stories offered up at the “podium”; for us it is all the pain and joy written in the text. In place of a conclusive ending that gives us all that we want to know, that shows us everything that “happens,” in its final scene the novel instead tells the story of a man finding his “bottom,” his lowest ebb, waking up “flat on his back on the beach in the freezing sand” (981). This man, of course, is Don Gately. If we see this final moment only as a frustration of narrative desire, as a turning away from full understanding, from a revelation of the “truth” the narrative has been withholding, then we perhaps fail the task Wallace’s text, like AA, constantly asks of us: to listen, to accept, to trust.ReferencesBoswell, Marshall. Understanding David Foster Wallace. Columbia, SC: U of South Carolina P, 2003.Bywater, William. “The Paranoia of Postmodernism.” Philosophy and Literature 14.1 (1990): 79–84. Foucault, Michel. “Nietzsche, Freud, Marx.” Aesthetics, Method, and Epistemology: Essential Works of Foucault 1954–84, Volume 2. Ed. James Faubion. Trans. Robert Hurley et al. London: Penguin, 2000. 269–78. Freudenthal, Elizabeth. “Anti-Interiority: Compulsiveness, Objectification, and Identity in Infinite Jest.” New Literary History 41.1 (2010): 191–211. Kelly, Adam. “David Foster Wallace and the New Sincerity in American Fiction.” Consider David Foster Wallace: Critical Essays. Los Angeles: Sideshow Media Group Press, 2010. 131–46.Latour, Bruno. “Why Has Critique Run Out of Steam? From Matters of Fact to Matters of Concern.” Critical Inquiry 30.2 (2004): 225–48.Lipsky, David. Although of Course You End Up Becoming Yourself: A Road Trip With David Foster Wallace. New York: Broadway Books, 2010.McHale, Brian. “Modernist Reading, Post-Modern Text: The Case of Gravity's Rainbow.” Poetics Today 1.1 (1979): 85–110.Pascal, Blaise. Pensées and Other Writings. Trans. Honor Levi. Ed.Anthony Levi. New York: Oxford UP, 1995.Ricœur, Paul. Freud and Philosophy: An Essay on Interpretation. Trans. Denis Savage. New Haven: Yale UP, 1970.Wallace, David Foster. “E Unibus Pluram: Television and U.S. Fiction.” Review of Contemporary Fiction 13.2 (1993): 151–94. ---. Infinite Jest. New York: Back Bay Books, 1996.
Styles APA, Harvard, Vancouver, ISO, etc.
45

Hughes, Karen Elizabeth. « Resilience, Agency and Resistance in the Storytelling Practice of Aunty Hilda Wilson (1911-2007), Ngarrindjeri Aboriginal Elder ». M/C Journal 16, no 5 (28 août 2013). http://dx.doi.org/10.5204/mcj.714.

Texte intégral
Résumé :
In this article I discuss a story told by the South Australian Ngarrindjeri Aboriginal elder, Aunty Hilda Wilson (nee Varcoe), about the time when, at not quite sixteen, she was sent from the Point Pearce Aboriginal Station to work in the Adelaide Hills, some 500 kilometres away, as a housekeeper for “one of Adelaide’s leading doctors”. Her secondment was part of a widespread practice in early and mid-twentieth century Australia of placing young Aboriginal women “of marriageable age” from missions and government reserves into domestic service. Consciously deploying Indigenous storytelling practices as pedagogy, Hilda Wilson recounted this episode in a number of distinct ways during the late 1990s and early 2000s. Across these iterations, each building on the other, she exhibited a personal resilience in her subjectivity, embedded in Indigenous knowledge systems of relationality, kin and work, which informed her agency and determination in a challenging situation in which she was both caring for a white socially-privileged family of five, while simultaneously grappling with the injustices of a state system of segregated indentured labour. Kirmayer and colleagues propose that “notions of resilience emerging from developmental psychology and psychiatry in recent years address the distinctive cultures, geographic and social settings, and histories of adversity of indigenous peoples”. Resilience is understood here as an ability to actively engage with traumatic change, involving the capacity to absorb stress and to transform in order to cope with it (Luthar et al.). Further to this, in an Indigenous context, Marion Kickett has found the capacity for resilience to be supported by three key factors: family connections, culture and belonging as well as notions of identity and history. In exploring the layers of this autobiographical story, I employ this extended psychological notion of resilience in both a domestic ambit as well as the broader social context for Indigenous people surviving a system of external domination. Additionally I consider the resilience Aunty Hilda demonstrates at a pivotal interlude between girlhood and womanhood within the trajectory of her overall long and productive life, and within an intergenerational history of resistance and accommodation. What is especially important about her storytelling is its refusal to be contained by the imaginary of the settler nation and its generic Aboriginal-female subject. She refuses victimhood while at the same time illuminating the mechanisms of injustice, hinting also at possibilities for alternative and more equitable relationships of family and work across cultural divides. Considered through this prism, resilience is, I suggest, also a quality firmly connected to ideas of Aboriginal cultural-sovereignty and standpoint and to, what Victoria Grieves has identified as, the Aboriginal knowledge value of sharing (25, 28, 45). Storytelling as Pedagogy The story I discuss was verbally recounted in a manner that Westphalen describes as “a continuation of Dreaming Stories”, functioning to educate and connect people and country (13-14). As MacGill et al. note, “the critical and transformative aspects of decolonising pedagogies emerge from storytelling and involve the gift of narrative and the enactment of reciprocity that occurs between the listener and the storyteller.” Hilda told me that as a child she was taught not to ask questions when listening to the stories of an Elder, and her own children were raised in this manner. Hilda's oldest daughter described this as a process involving patience, intrigue and surprise (Elva Wanganeen). Narratives unfold through nuance and repetition in a complexity of layers that can generate multiple levels of meaning over time. Circularity and recursivity underlie this pedagogy through which mnemonic devices are built so that stories become re-membered and inscribed on the body of the listener. When a perceived level of knowledge-transference has occurred, a narrator may elect to elaborate further, adding another detail that will often transform the story’s social, cultural, moral or political context. Such carefully chosen additional detail, however, might re-contextualise all that has gone before. As well as being embodied, stories are also emplaced, and thus most appropriately told in the Country where events occurred. (Here I use the Aboriginal English term “Country” which encompasses home, clan estate, and the powerful complex of spiritual, animate and inanimate forces that bind people and place.) Hilda Wilson’s following account of her first job as a housekeeper for “one of Adelaide’s leading doctors”, Dr Frank Swann, provides an illustration of how she expertly uses traditional narrative forms of incrementally structured knowledge transmission within a cross-cultural setting to tell a story that expresses practices of resilience as resistance and transformation at its core. A “White Doctor” Story: The First Layer Aunty Hilda first told me this story when we were winding along the South Eastern Freeway through the Adelaide hills between Murray Bridge and Mount Barker, in 1997, on our way home to Adelaide from a trip to Camp Coorong, the Ngarrindjeri cultural education centre co-founded by her granddaughter. She was then 86 years old. Ahead of us, the profile of Mt Lofty rose out of the plains and into view. The highest peak in the Mount Lofty ranges, Yurrebilla, as it is known to Kaurna Aboriginal people, or Mt Lofty, has been an affluent enclave of white settlement for Adelaide’s moneyed elite since early colonial times. Being in place, or in view of place, provided the appropriate opportunity for her to tell me the story. It belongs to a group of stories that during our initial period of working together changed little over time until one day two years later she an added contextual detail which turned it inside out. Hilda described the doctor’s spacious hill-top residence, and her responsibilities of caring for Dr Swann’s invalid wife (“an hysteric who couldn't do anything for herself”), their twin teenage boys (who attended private college in the city) along with another son and younger daughter living at home (pers. com. Hilda Wilson). Recalling the exhilaration of looking down over the sparkling lights of Adelaide at night from this position of apparent “privilege” on the summit, she related this undeniably as a success story, justifiably taking great pride in her achievements as a teenager, capable of stepping into the place of the non-Indigenous doctor's wife in running the large and demanding household. Successfully undertaking a wide range of duties employed in the care of a family, including the disabled mother, she is an active participant crucial to the lives of all in the household, including to the work of the doctor and the twin boys in private education. Hilda recalled that Mrs Swann was unable to eat without her assistance. As the oldest daughter of a large family Hilda had previously assisted in caring for her younger siblings. Told in this way, her account collapses social distinctions, delineating a shared social and physical space, drawing its analytic frame from an Indigenous ethos of subjectivity, relationality, reciprocity and care. Moreover Hilda’s narrative of domestic service demonstrates an assertion of agency that resists colonial and patriarchal hegemony and inverts the master/mistress-servant relationship, one she firmly eschews in favour of the self-affirming role of the lady of the house. (It stands in contrast to the abuse found in other accounts for example Read, Tucker, Kartinyeri. Often the key difference was a continuity of family connections and ongoing family support.) Indeed the home transformed into a largely feminised and cross-culturalised space in which she had considerable agency and responsibility when the doctor was absent. Hilda told me this story several times in much the same way during our frequent encounters over the next two years. Each telling revealed further details that fleshed a perspective gained from what Patricia Hill Collins terms an “epistemic privilege” via her “outsider-within status” of working within a white household, lending an understanding of its social mechanisms (12-15). She also stressed the extent of her duty of care in upholding the family’s well-being, despite the work at times being too burdensome. The Second Version: Coming to Terms with Intersecting Oppressions Later, as our relationship developed and deepened, when I began to record her life-narrative as part of my doctoral work, she added an unexpected detail that altered its context completely: It was all right except I slept outside in a tin shed and it was very cold at night. Mount Lofty, by far the coldest part of Adelaide, frequently experiences winter maximum temperatures of two or three degrees and often light snowfalls. This skilful reframing draws on Indigenous storytelling pedagogy and is expressly used to invite reflexivity, opening questions that move the listener from the personal to the public realm in which domestic service and the hegemony of the home are pivotal in coming to terms with the overlapping historical oppressions of class, gender, race and nation. Suddenly we witness her subjectivity starkly shift from one self-defined and allied with an equal power relationship – or even of dependency reversal cast as “de-facto doctor's wife” – to one diminished by inequity and power imbalance in the outsider-defined role of “mistreated servant”. The latter was signalled by the dramatic addition of a single signifying detail as a decoding device to a deeper layer of meaning. In this parallel stratum of the story, Hilda purposefully brings into relief the politics in which “the private domain of women's housework intersected with the public domain of governmental social engineering policies” (Haskins 4). As Aileen Moreton-Robinson points out, what for White Australia was cheap labour and a civilising mission, for Indigenous women constituted stolen children and slavery. Protection and then assimilation were government policies under which Indigenous women grew up. (96) Hilda was sent away from her family to work in 1927 by the universally-feared Sister Pearl McKenzie, a nurse who too-zealously (Katinyeri, Ngarrindjeri Calling, 23) oversaw the Chief Protector’s policies of “training” Aboriginal children from the South Australian missions in white homes once they reached fourteen (Haebich, 316—20). Indeed many prominent Adelaide hills’ families benefited from Aboriginal labour under this arrangement. Hilda explained her struggle with the immense cultural dislocation that removal into domestic service entailed, a removal her grandfather William Rankine had travelled from Raukkan to Government House to protest against less than a decade earlier (The Register December 21, 1923). This additional layer of story also illuminates Hilda’s capacity for resilience and persistence in finding a way forward through the challenge of her circumstances (Luthar et al.), drawing on her family networks and sense of personhood (Kickett). Hilda related that her father visited her at Mount Lofty twice, though briefly, on his way to shearing jobs in the south-east of the state. “He said it was no good me living like this,” she stated. Through his active intervention, reinforcement was requested and another teenager from Point Pearce, Hilda’s future husband’s cousin, Annie Sansbury, soon arrived to share the workload. But, Hilda explained, the onerous expectations coupled with the cultural segregation of retiring to the tin shed quickly became too much for Annie, who stayed only three months, leaving Hilda coping again alone, until her father applied additional pressure for a more suitable placement to be found for his daughter. In her next position, working for the family of a racehorse trainer, Hilda contentedly shared the bedroom with the small boy for whom she cared, and not long after returned to Point Pearce where she married Robert Wilson and began a family of her own. Gendered Resilience across Cultural Divides Hilda explicitly speaks into these spaces to educate me, because all but a few white women involved have remained silent about their complicity with state sanctioned practices which exploited Indigenous labour and removed children from their families through the policies of protection and assimilation. For Indigenous women, speaking out was often fraught with the danger of a deeper removal from family and Country, even of disappearance. Victoria Haskins writes extensively of two cases in New South Wales where young Aboriginal women whose protests concerning their brutal treatment at the hands of white employers, resulted in their wrongful and prolonged committal to mental health and other institutions (147-52, 228-39). In the indentured service of Indigenous women it is possible to see oppression operating through Eurocentric ideologies of race, class and gender, in which Indigenous women were assumed to take on, through displacement, the more oppressed role of white women in pre-second world war non-Aboriginal Australian society. The troubling silent shadow-figure of the “doctor’s wife” indeed provides a haunting symbol of - and also a forceful rebellion against – the docile upper middle-class white femininity of the inter-war era. Susan Bordo has argued that that “the hysteric” is archetypal of a discourse of ‘pathology as embodied protest’ in which the body may […] be viewed as a surface on which conventional constructions of femininity are exposed starkly to view in extreme or hyperliteral form. (20) Mrs Swann’s vulnerability contrasts markedly with the strength Hilda expresses in coping with a large family, emanating from a history of equitable gender relations characteristic of Ngarrindjeri society (Bell). The intersection of race and gender, as Marcia Langton contends “continues to require deconstruction to allow us to decolonise our consciousness” (54). From Hilda’s brief description one grasps a relationship resonant with that between the protagonists in Tracy Moffat's Night Cries, (a response to the overt maternalism in the film Jedda) in which the white mother finds herself utterly reliant on her “adopted” Aboriginal daughter at the end of her life (46-7). Resilience and Survival The different versions of story Hilda deploys, provide a pedagogical basis to understanding the broader socio-political framework of her overall life narrative in which an ability to draw on the cultural continuity of the past to transform the future forms an underlying dynamic. This demonstrated capacity to meet the challenging conditions thrown up by the settler-colonial state has its foundations in the connectivity and cultural strength sustained generationally in her family. Resilience moves from being individually to socially determined, as in Kickett’s model. During the onslaught of dispossession, following South Australia’s 1836 colonial invasion, Ngarrindjeri were left near-starving and decimated from introduced diseases. Pullume (c1808-1888), the rupuli (elected leader of the Ngarrindjeri Tendi, or parliament), Hilda’s third generation great-grandfather, decisively steered his people through the traumatic changes, eventually negotiating a middle-path after the Point McLeay Mission was established on Ngarrindjeri country in 1859 (Jenkin, 59). Pullume’s granddaughter, the accomplished, independent-thinking Ellen Sumner (1842—1925), played an influential educative role during Hilda’s youth. Like other Ngarrindjeri women in her lineage, Ellen Sumner was skilled in putari practice (female doctor) and midwifery culture that extended to a duty of care concerning women and children (teaching her “what to do and what not to do”), which I suggest is something Hilda herself drew from when working with the Swann family. Hilda’s mother and aunties continued aspects of the putari tradition, attending births and giving instruction to women in the community (Bell, 171, Hughes Grandmother, 52-4). As mentioned earlier, when the South Australian government moved to introduce The Training of Children Act (SA) Hilda’s maternal grandfather William Rankine campaigned vigorously against this, taking a petition to the SA Governor in December 1923 (Haebich, 315-19). As with Aunty Hilda, William Rankine used storytelling as a method to draw public attention to the inequities of his times in an interview with The Register which drew on his life-narrative (Hughes, My Grandmother, 61). Hilda’s father Wilfred Varcoe, a Barngarrla-Wirrungu man, almost a thousand kilometres away from his Poonindie birthplace, resisted assimilation by actively pursuing traditional knowledge networks using his mobility as a highly sought after shearer to link up with related Elders in the shearing camps, (and as we saw to inspect the conditions his daughter was working under at Mt Lofty). The period Hilda spent as a servant to white families to be trained in white ways was in fact only a brief interlude in a long life in which family connections, culture and belonging (Kickett) served as the backbone of her resilience and resistance. On returning to the Point Pearce Mission, Hilda successfully raised a large family and activated a range of community initiatives that fostered well-being. In the 1960s she moved to Adelaide, initially as the sole provider of her family (her husband later followed), to give her younger children better educational opportunities. Working with Aunty Gladys Elphick OBE through the Council of Aboriginal Women, she played a foundational role in assisting other Aboriginal women establish their families in the city (Mattingly et al., 154, Fisher). In Adelaide, Aunty Hilda became an influential, much loved Elder, living in good health to the age of ninety-six years. The ability to survive changing circumstances, to extend care over and over to her children and Elders along with qualities of leadership, determination, agency and resilience have passed down through her family, several of whom have become successful in public life. These include her great-grandson and former AFL football player, Michael O’Loughlin, her great-nephew Adam Goodes and her-grand-daughter, the cultural weaver Aunty Ellen Trevorrow. Arguably, resilience contributes to physical as well as cultural longevity, through caring for the self and others. Conclusion This story demonstrates how sociocultural dimensions of resilience are contextualised in practices of everyday lives. We see this in the way that Aunty Hilda Wilson’s self-narrated story resolutely defies attempts to know, subjugate and categorise, operating instead in accord with distinctively Aboriginal expressions of gender and kinship relations that constitute an Aboriginal sovereignty. Her storytelling activates a revision of collective history in ways that valorise Indigenous identity (Kirmayer et al.). Her narrative of agency and personal achievement, one that has sustained her through life, interacts with the larger narrative of state-endorsed exploitation, diffusing its power and exposing it to wider moral scrutiny. Resilience in this context is inextricably entwined with practices of cultural survival and resistance developed in response to the introduction of government policies and the encroachment of settlers and their world. We see resilience too operating across Hilda Wilson’s family history, and throughout her long life. The agency and strategies displayed suggest alternative realities and imagine other, usually more equitable, possible worlds. References Bell, Diane. Ngarrindjeri Wurruwarrin: A World That Is, Was and Will Be. Melbourne: Spinifex, 1998. Bordo, Susan. “The Body and the Reproduction of Femininity.” Writing on the Body: Female Embodiment and Feminist Theory. Eds. Katie Conboy, Nadia Medina, and Sarah Stanbury. New York: Columbia UP, 1997. 90-110. Collins, Patricia Hill. Black Feminist Thought. New York: Routledge, 2000. Fisher, Elizabeth M. "Elphick, Gladys (1904–1988)." Australian Dictionary of Biography. National Centre of Biography, Australian National University, 29 Sep. 2013. ‹http://adb.anu.edu.au/biography/elphick-gladys-12460/text22411>. Grieves, Victoria. Aboriginal Spirituality: Aboriginal Philosophy, The Basis of Aboriginal Social and Emotional Wellbeing, Melbourne University: Cooperative Research Centre for Aboriginal Health, 2009. Haebich, Anna. Broken Circles: The Fragmenting of Indigenous Families. Fremantle: Fremantle Arts Press, 2000. Haskins, Victoria. My One Bright Spot. London: Palgrave, 2005. Hughes, Karen. "My Grandmother on the Other Side of the Lake." PhD thesis, Department of Australian Studies and Department of History, Flinders University. Adelaide, 2009. ———. “Microhistories and Things That Matter.” Australian Feminist Studies 27.73 (2012): 269-278. ———. “I’d Grown Up as a Child amongst Natives.” Outskirts: Feminisms along the Edge 28 (2013). 29 Sep. 2013 ‹http://www.outskirts.arts.uwa.edu.au/volumes/volume-28/karen-hughes>. Jenkin, Graham. Conquest of the Ngarrindjeri. Adelaide: Rigby, 1979. Kartinyeri, Doris. Kick the Tin. Melbourne: Spinifex, 2000. Kartinyeri, Doreen. My Ngarrindjeri Calling, Adelaide: Wakefield, 2007. Kickett, Marion. “Examination of How a Culturally Appropriate Definition of Resilience Affects the Physical and Mental Health of Aboriginal People.” PhD thesis, Curtin University, 2012. Kirmayer, L.J., S. Dandeneau, E. Marshall, M.K. Phillips, K. Jenssen Williamson. “Rethinking Resilience from Indigenous Perspectives.” Canadian Journal of Psychiatry 56.2 (2011): 84-91. Luthar, S., D. Cicchetti, and B. Becker. “The Construct of Resilience: A Critical Evaluation and Guidelines for Future Work.” Child Development 71.3 (2000): 543-62. MacGill, Bindi, Julie Mathews, Ellen Trevorrow, Alice Abdulla, and Deb Rankine. “Ecology, Ontology, and Pedagogy at Camp Coorong,” M/C Journal 15.3 (2012). Mattingly, Christobel, and Ken Hampton. Survival in Our Own Land, Adelaide: Wakefield, 1988. Moreton-Robinson, Aileen. Talkin’ Up to the White Woman. St Lucia: UQP, 2000. Night Cries, A Rural Tragedy. Dir. Tracy Moffatt. Chili Films, 1990. Read, Peter. A Rape of the Soul So Profound. Crows Nest: Allen & Unwin, 2002. Tucker, Margaret. If Everyone Cared. Sydney: Ure Smith, 1977. Wanganeen, Elva. Personal Communication, 2000. Westphalen, Linda. An Anthropological and Literary Study of Two Aboriginal Women's Life Histories: The Impacts of Enforced Child Removal and Policies of Assimilation. New York: Mellen Press, 2011.
Styles APA, Harvard, Vancouver, ISO, etc.
46

Ballard, Su. « Information, Noise and et al. » M/C Journal 10, no 5 (1 octobre 2007). http://dx.doi.org/10.5204/mcj.2704.

Texte intégral
Résumé :
The two companions scurry off when they hear a noise at the door. It was only a noise, but it was also a message, a bit of information producing panic: an interruption, a corruption, a rupture of communication. Was the noise really a message? Wasn’t it, rather, static, a parasite? Michael Serres, 1982. Since, ordinarily, channels have a certain amount of noise, and therefore a finite capacity, exact transmission is impossible. Claude Shannon, 1948. Reading Information At their most simplistic, there are two means for shifting information around – analogue and digital. Analogue movement depends on analogy to perform computations; it is continuous and the relationships between numbers are keyed as a continuous ordinal set. The digital set is discrete; moving one finger at a time results in a one-to-one correspondence. Nevertheless, analogue and digital are like the two companions in Serres’ tale. Each suffers the relationship of noise to information as internal rupture and external interference. In their examination of historical constructions of information, Hobart and Schiffman locate the noise of the analogue within its physical materials; they write, “All analogue machines harbour a certain amount of vagueness, known technically as ‘noise’. Which describes the disturbing influences of the machine’s physical materials on its calculations” (208). These “certain amounts of vagueness” are essential to Claude Shannon’s articulation of a theory for information transfer that forms the basis for this paper. In transforming the structures and materials through which it travels, information has left its traces in digital art installation. These traces are located in installation’s systems, structures and materials. The usefulness of information theory as a tool to understand these relationships has until recently been overlooked by a tradition of media art history that has grouped artworks according to the properties of the artwork and/or tied them into the histories of representation and perception in art theory. Throughout this essay I use the productive dual positioning of noise and information to address the errors and impurity inherent within the viewing experiences of digital installation. Information and Noise It is not hard to see why the fractured spaces of digital installation are haunted by histories of information science. In his 1948 essay “The Mathematical Theory of Communication” Claude Shannon developed a new model for communications technologies that articulated informational feedback processes. Discussions of information transmission through phone lines were occurring alongside the development of technology capable of computing multiple discrete and variable packets of information: that is, the digital computer. And, like art, information science remains concerned with the material spaces of transmission – whether conceptual, social or critical. In the context of art something is made to be seen, understood, viewed, or presented as a series of relationships that might be established between individuals, groups, environments, and sensations. Understood this way art is an aesthetic relationship between differing material bodies, images, representations, and spaces. It is an event. Shannon was adamant that information must not be confused with meaning. To increase efficiency he insisted that the message be separated from its components; in particular, those aspects that were predictable were not to be considered information (Hansen 79). The problem that Shannon had to contend with was noise. Unwanted and disruptive, noise became symbolic of the struggle to control the growth of systems. The more complex the system, the more noise needed to be addressed. Noise is both the material from which information is constructed, as well as being the matter which information resists. Weaver (Shannon’s first commentator) writes: In the process of being transmitted, it is unfortunately characteristic that certain things are added to the signal which were not intended by the information source. These unwanted additions may be distortions of sound (in telephony, for example) or static (in radio), or distortions in shape or shading of picture (television), or errors in transmission (telegraphy or facsimile), etc. All of these changes in the transmitted signal are called noise. (4). To enable more efficient message transmission, Shannon designed systems that repressed as much noise as possible, while also acknowledging that without some noise information could not be transmitted. Shannon’s conception of information meant that information would not change if the context changed. This was crucial if a general theory of information transmission was to be plausible and meant that a methodology for noise management could be foregrounded (Pask 123). Without meaning, information became a quantity, a yes or no decision, that Shannon called a “bit” (1). Shannon’s emphasis on separating signal or message from both predicability and external noise appeared to give information an identity where it could float free of a material substance and be treated independently of context. However, for this to occur information would have to become fixed and understood as an entity. Shannon went to pains to demonstrate that the separation of meaning and information was actually to enable the reverse. A fluidity of information and the possibilities for encoding it would mean that information, although measurable, did not have a finite form. Tied into the paradox of this equation is the crucial role of noise or error. In Shannon’s communication model information is not only complicit with noise; it is totally dependant upon it for understanding. Without noise, either encoded within the original message or present from sources outside the channel, information cannot get through. The model of sender-encoder-channel-signal (message)-decoder-receiver that Shannon constructed has an arrow inserting noise. Visually and schematically this noise is a disruption pointing up and inserting itself in the nice clean lines of the message. This does not mean that noise was a last minute consideration; rather noise was the very thing Shannon was working with (and against). It is present in every image we have of information. A source, message, transmitter, receiver and their attendant noises are all material infrastructures that serve to contextualise the information they transmit, receive, and disrupt. Figure 1. Claude Shannon “The Mathematical Theory of Communication” 1948. In his analytical discussion of the diagram, Shannon actually locates noise in two crucial places. The first position accorded noise is external, marked by the arrow that demonstrates how noise is introduced to the message channel whilst in transit. External noise confuses the purity of the message whilst equivocally adding new information. External noise has a particular materiality and enters the equation as unexplained variation and random error. This is disruptive presence rather than entropic coded pattern. Shannon offers this equivocal definition of noise to be everything that is outside the linear model of sender-channel-receiver; hence, anything can be noise if it enters a channel where it is unwelcome. Secondly, noise was defined as unpredictability or entropy found and encoded within the message itself. This for Shannon was an essential and, in some ways, positive role. Entropic forces invited continual reorganisation and (when engaging the laws of redundancy) assisted with the removal of repetition enabling faster message transmission (Shannon 48). Weaver calls this shifting relationship between entropy and message “equivocation” (11). Weaver identified equivocation as central to the manner in which noise and information operated. A process of equivocation identified the receiver’s knowledge. For Shannon, a process of equivocation mediated between useful information and noise, as both were “measured in the same units” (Hayles, Chaos 55). To eliminate noise completely is to sacrifice information. Information understood in this way is also about relationships between differing material bodies, representations, and spaces, connected together for the purposes of transmission. It, like the artwork, is an event. This would appear to suggest a correlation between information transmission and viewing in galleries. Far from it. Although, the contemporary information channel is essentially a tube with fixed walls, (it is still constrained by physical properties, bandwidth and so on) and despite the implicit spatialisation of information models, I am not proposing a direct correlation between information channels and installation spaces. This is because I am not interested in ‘reading’ the information of either environment. What I am suggesting is that both environments share this material of noise. Noise is present in four places. Firstly noise is within the media errors of transmission, and secondly, it is within the media of the installation, (neither of which are one way flows). Thirdly, the viewer or listener introduces noise as interference, and lastly, it is present in the very materials thorough which it travels. Noise layered on noise. Redundancy and Modulation So far in this paper I have discussed the relationship of information to noise. For the remainder, I want to address some particular processes or manifestations of noise in New Zealand artists’ collective, et al.’s maintenance of social solidarity–instance 5 (2006, exhibited as part of the SCAPE Biennal of Art in Public Space, Christchurch Art Gallery). The installation occupies a small alcove that is partially blocked by a military-style portable table stacked with newspapers. Inside the space are three grey wooden chairs, some headphones, and a modified data projection of Google Earth. It is not immediately clear if the viewer is allowed within the spaces of the alcove to listen to the headphones as monotonous voices fill the whole space intoning political, social, and religious platitudes. The headphones might be a tool to block out the noise. In the installation it is as if multiple messages have been sent but their source, channel, and transmitter are unintelligible to the receiver. All that is left is information divorced from meaning. As other works by et al. have demonstrated, social solidarity is not a fundamentalism with directed positions and singular leaders. For example, in rapture (2004) noise disrupts all presence as a portable shed quivers in response to underground nuclear explosions 40,000km away. In the fundamental practice (2005) the viewer is left attempting to decode the un-encoded, as again sound and large steel barriers control and determine only certain movements (see http://www.etal.name/ for some documentation of these projects) . maintenance of social solidarity–instance 5 is a development of the fundamental practice. To enter its spaces viewers slip around the table and find themselves extremely close to the projection screen. Despite the provision of copious media the viewer cannot control any aspect of the environment. On screen, and apparently integral to the Google Earth imagery, are five animated and imposing dark grey monolith forms. Because of their connection to the monotonous voices in the headphones, the monoliths seem to map the imposition of narrative, power, and force in various disputed territories. Like their sudden arrival in Kubrick’s 2001: A Space Odyssey (1968) it is the contradiction of the visibility and improbability of the monoliths that renders them believable. On the video landscape the five monoliths apparently house the dispassionate voices of many different media and political authorities. Their presence is both redundant and essential as they modulate the layering of media forces – and in between, error slips in. In a broad discussion of information Gilles Deleuze and Felix Guattari highlight the necessary role of redundancy commenting that: redundancy has two forms, frequency and resonance; the first concerns the significance of information, the second (I=I) concerns the subjectivity of communication. It becomes apparent that information and communication, and even significance and subjectification, are subordinate to redundancy (79). In maintenance of social solidarity–instance 5 patterns of frequency highlight the necessary role of entropy where it is coded into gaps in the vocal transmission. Frequency is a structuring of information tied to meaningful communication. Resonance, like the stack of un-decodable newspapers on the portable table, is the carrier of redundancy. It is in the gaps between the recorded voices that connections between the monoliths and the texts are made, and these two forms of redundancy emerge. As Shannon says, redundancy is a problem of language. This is because redundancy and modulation do not equate with relationship of signal to noise. Signal to noise is a representational relationship; frequency and resonance are not representational but relational. This means that an image that might be “real-time” interrupts our understanding that the real comes first with representation always trailing second (Virilio 65). In maintenance of social solidarity–instance 5 the monoliths occupy a fixed spatial ground, imposed over the shifting navigation of Google Earth (this is not to mistake Google Earth with the ‘real’ earth). Together they form a visual counterpoint to the texts reciting in the viewer’s ears, which themselves might present as real but again, they aren’t. As Shannon contended, information cannot be tied to meaning. Instead, in the race for authority and thus authenticity we find interlopers, noisy digital images that suggest the presence of real-time perception. The spaces of maintenance of social solidarity–instance 5 meld representation and information together through the materiality of noise. And across all the different modalities employed, the appearance of noise is not through formation, but through error, accident, or surprise. This is the last step in a movement away from the mimetic obedience of information and its adherence to meaning-making or representational systems. In maintenance of social solidarity–instance 5 we are forced to align real time with virtual spaces and suspend our disbelief in the temporal truths that we see on the screen before us. This brief introduction to the work has returned us to the relationship between analogue and digital materials. Signal to noise is an analogue relationship of presence and absence. No signal equals a break in transmission. On the other hand, a digital system, due to its basis in discrete bits, transmits through probability (that is, the transmission occurs through pattern and randomness, rather than presence and absence (Hayles, How We Became 25). In his use of Shannon’s theory for the study of information transmission, Schwartz comments that the shift in information theory from analogue to digital is a shift from an analogue relationship of signal to noise to one of the probability of error (318). As I have argued in this paper, if it is measured as a quantity, noise is productive; it adds information. In both digital and analogue systems it is predictability and repetition that do not contribute information. Von Neumann makes the distinction clear saying that to some extent the “precision” of the digital machine “is absolute.” Even though, error as a matter of normal operation and not solely … as an accident attributable to some definite breakdown, nevertheless creeps in (294). Error creeps in. In maintenance of social solidarity–instance 5, et al. disrupts signal transmission by layering ambiguities into the installation. Gaps are left for viewers to introduce misreadings of scale, space, and apprehension. Rather than selecting meaning out of information within nontechnical contexts, a viewer finds herself in the same sphere as information. Noise imbricates both information and viewer within a larger open system. When asked about the relationship with the viewer in her work, et al. collaborator p.mule writes: To answer the 1st question, communication is important, clarity of concept. To answer the 2nd question, we are all receivers of information, how we process is individual. To answer the 3rd question, the work is accessible if you receive the information. But the question remains: how do we receive the information? In maintenance of social solidarity–instance 5 the system dominates. Despite the use of sound engineering and sophisticated Google Earth mapping technologies, the work appears to be constructed from discarded technologies both analogue and digital. The ominous hovering monoliths suggest answers: that somewhere within this work are methodologies to confront the materialising forces of digital error. To don the headphones is to invite a position that operates as a filtering of power. The parameters for this power are in a constant state of flux. This means that whilst mapping these forces the work does not locate them. Sound is encountered and constructed. Furthermore, the work does not oppose digital and analogue, for as von Neumann comments “the real importance of the digital procedure lies in its ability to reduce the computational noise level to an extent which is completely unobtainable by any other (analogy) procedure” (295). maintenance of social solidarity–instance 5 shows how digital and analogue come together through the productive errors of modulation and redundancy. et al.’s research constantly turns to representational and meaning making systems. As one instance, maintenance of social solidarity–instance 5 demonstrates how the digital has challenged the logics of the binary in the traditions of information theory. Digital logics are modulated by redundancies and accidents. In maintenance of social solidarity–instance 5 it is not possible to have information without noise. If, as I have argued here, digital installation operates between noise and information, then, in a constant disruption of the legacies of representation, immersion, and interaction, it is possible to open up material languages for the digital. Furthermore, an engagement with noise and error results in a blurring of the structures of information, generating a position from which we can discuss the viewer as immersed within the system – not as receiver or meaning making actant, but as an essential material within the open system of the artwork. References Barr, Jim, and Mary Barr. “L. Budd et al.” Toi Toi Toi: Three Generations of Artists from New Zealand. Ed. Rene Block. Kassel: Museum Fridericianum, 1999. 123. Burke, Gregory, and Natasha Conland, eds. et al. the fundamental practice. Wellington: Creative New Zealand, 2005. Burke, Gregory, and Natasha Conland, eds. Venice Document. et al. the fundamental practice. Wellington: Creative New Zealand, 2006. Daly-Peoples, John. Urban Myths and the et al. Legend. 21 Aug. 2004. The Big Idea (reprint) http://www.thebigidea.co.nz/print.php?sid=2234>. Deleuze, Gilles, and Felix Guattari. A Thousand Plateaus: Capitalism and Schizophrenia. Trans. Brian Massumi. London: The Athlone Press, 1996. Hansen, Mark. New Philosophy for New Media. Cambridge, MA and London: MIT Press, 2004. Hayles, N. Katherine. How We Became Posthuman: Virtual Bodies in Cybernetics, Literature and Informatics. Chicago and London: U of Chicago P, 1999. Hayles, N. Katherine. Chaos Bound: Orderly Disorder in Contemporary Literature and Science. Ithaca and London: Cornell University, 1990. Hobart, Michael, and Zachary Schiffman. Information Ages: Literacy, Numeracy, and the Computer Revolution. Baltimore: Johns Hopkins UP, 1998. p.mule, et al. 2007. 2 Jul. 2007 http://www.etal.name/index.htm>. Pask, Gordon. An Approach to Cybernetics. London: Hutchinson, 1961. Paulson, William. The Noise of Culture: Literary Texts in a World of Information. Ithaca and London: Cornell University, 1988. Schwartz, Mischa. Information Transmission, Modulation, and Noise: A Unified Approach to Communication Systems. 3rd ed. New York: McGraw-Hill, 1980. Serres, Michel. The Parasite. Trans. Lawrence R. Schehr. Baltimore: John Hopkins UP, 1982. Shannon, Claude. A Mathematical Theory of Communication. July, October 1948. Online PDF. 27: 379-423, 623-656 (reprinted with corrections). 13 Jul. 2004 http://cm.bell-labs.com/cm/ms/what/shannonday/paper.html>. Virilio, Paul. The Vision Machine. Trans. Julie Rose. Bloomington and Indianapolis: Indiana UP, British Film Institute, 1994. Von Neumann, John. “The General and Logical Theory of Automata.” Collected Works. Ed. A. H. Taub. Vol. 5. Oxford: Pergamon Press, 1963. Weaver, Warren. “Recent Contributions to the Mathematical Theory of Communication.” The Mathematical Theory of Commnunication. Eds. Claude Shannon and Warren Weaver. paperback, 1963 ed. Urbana and Chicago: U of Illinois P, 1949. 1-16. Work Discussed et al. maintenance of social solidarity–instance 5 2006. Installation, Google Earth feed, newspapers, sound. Exhibited in SCAPE 2006 Biennial of Art in Public Space Christchurch Art Gallery, Christchurch, September 30-November 12. Images reproduced with the permission of et al. Photographs by Lee Cunliffe. Acknowledgments Research for this paper was conducted with the support of an Otago Polytechnic Resaerch Grant. Photographs of et al. maintenance of social solidarity–instance 5 by Lee Cunliffe. Citation reference for this article MLA Style Ballard, Su. "Information, Noise and et al." M/C Journal 10.5 (2007). echo date('d M. Y'); ?> <http://journal.media-culture.org.au/0710/02-ballard.php>. APA Style Ballard, S. (Oct. 2007) "Information, Noise and et al.," M/C Journal, 10(5). Retrieved echo date('d M. Y'); ?> from <http://journal.media-culture.org.au/0710/02-ballard.php>.
Styles APA, Harvard, Vancouver, ISO, etc.
47

Lombard, Kara-Jane. « “To Us Writers, the Differences Are Obvious” ». M/C Journal 10, no 2 (1 mai 2007). http://dx.doi.org/10.5204/mcj.2629.

Texte intégral
Résumé :
Introduction It appears that graffiti has begun to clean up its act. Escalating numbers of mature graffiti writers feel the removal of their graffiti has robbed them of a history, and are turning to legal projects in an effort to restore it. Phibs has declared the graffiti underground “limited” and Kano claims its illegal aspect no longer inspires him (Hamilton, 73). A sign of the times was the exhibition Sake of Name: Australian Graffiti Now which opened at the Wharf 2 Theatre in January 2001. The exhibition was commissioned by the Sydney Theatre Company and comprised twenty-two pieces painted by graffiti writers from around Australia. Keen to present a respectable image, writers rejected the original title of Bomb the Wharf, as they felt it focused on the negative aspects of the culture (Andrews, 2). Premier Bob Carr opened the exhibition with the declaration that there is a difference between “graffiti art” and “graffiti vandalism”. The Premier’s stance struck a discordant note with Tony Stevens, a twenty-three-year veteran graffiti cleaner. Described by the Sydney Morning Herald as an “urban art critic by default,” Stevens could see no distinction between graffiti art and vandalism (Leys, 1). Furthermore, he expressed his disappointment that the pieces had “no sense of individuality … it could be graffiti from any American city” (Stevens, 1). As far as Stevens could see, Australian graffiti expressed nothing of its Australian context; it simply mimicked that of America. Sydney Theatre Company director Benedict Andrews responded with a venomous attack on Stevens. Andrews accused the cleaner of being blinded by prejudice (1), and felt that years of cleaning texta tags from railway corridors could not have possibly qualified Stevens as an art critic (3). “The artists in this exhibition are not misfits,” Andrews wrote (2). “They are serious artists in dialogue with their culture and the landscapes in which they live” (2). He went on to hail the strength and diversity of the Australian graffiti scene: “it is a vital and agile international culture and in Australia has evolved in specific ways” (1). The altercation between Stevens and Andrews pointed to one of the debates concerning Australian graffiti: whether it is unique or simply imitative of the American form. Hinged on the assessment of graffiti as vandalism is the view that graffiti is dirty, a disease. Proponents of this view consider graffiti to be an undifferentiated global phenomenon. Others conceive of graffiti as art, and as such argue that it is expressive of local experiences. Graffiti writers maintain that graffiti is expressive of local experiences and they describe it in terms of regional styles and aesthetics. This article maps the transformation of hip hop graffiti as it has been disseminated throughout the world. It registers the distinctiveness of graffiti in Australia and argues that graffiti is not a globally homogenous form, but one which develops in a locally specific manner. Writing and Replicating: Hip Hop Graffiti and Cultural Imperialism Contemporary graffiti subcultures are strongly identified with large American cities. Originating in the black neighbourhood cultures of Philadelphia and New York City in the late 1960s and early 1970s, hip hop graffiti emerged as part of a larger, homegrown, alternative youth culture (“Urban Graffiti”, 77). Before the end of the 1970s, the aesthetic codes and stylised images of hip hop graffiti began to disseminate to major cities across America and throughout the globe. Its transmission was facilitated by: the production and export of films such as Style Wars (Silver and Chalfant, 1983) and Wild Style (Ahearn, 1983); the covers of rap albums; graffiti magazines; art dealers; and style manuals such as Subway Art (Cooper and Chalfant) and Spraycan Art (Chalfant and Prigroff). Graffiti migrated to Australian shores during the early 1980s, gaining influence through the appearance of these seminal works, which are credited by many as having inspired them to pick up a can of spraypaint. During its larval stages, the subcultural codes of graffiti invented by American writers were reiterated in an Australian context. Australian graffiti writers poached the vocabulary and rhetoric invented by their American counterparts. Writers spoke of “getting up”, “getting fame” and their “crew”, classifying their work as “tags”, “pieces”, or “throw ups”. They utilised the same bubble letters, and later, the incomprehensible “wildstyle” originally devised by American writers. It was not long, however, before Australian writers were making their own innovations and developing a unique style. Despite this, there is still widespread conviction in the view that Australian graffiti is a replica of an American cultural form. This view is supported at a theoretical level by the concept of cultural imperialism. It is generally understood, at a basic level, to be the diffusion of a foreign culture at the expense of a local culture. The concept has been usefully clarified by John Tomlinson. Since there are various orders of power involved in allegations of cultural imperialism, Tomlinson attempts to resist some implicit “master narrative” of the term, accounting for cultural imperialism in a multidimensional fashion (20). He outlines five possible versions, which inflect cultural imperialism to mean cultural domination; a discourse of nationality; media imperialism; global capital; and modernity (19-28). The idea that Australian graffiti replicates American graffiti draws particularly on the first two versions—that of cultural imperialism as cultural domination, and the discourse of nationality. Both these approaches focus on the processes involved in cultural imperialism—“the invasion of an indigenous culture by a foreign one” (Tomlinson, 23). Many people I spoke to about graffiti saw it as evidence of foreign, particularly American, domination and influence over Australian culture. They expressed concern that the appearance of graffiti would signal an influx of “American” problems: gang activity, escalating violence and social disorder. Cultural imperialism as a discourse of nationality hinges on the concepts of “belonging” and “indigenous culture”. In a conference organised by the Graffiti Program of the Government of Western Australia, Senator Ian Campbell argued that graffiti had no place in Australia. He felt that, “there should be little need for social comment through the vandalism of other’s property. Perhaps in nations where … freedoms are not recognised … but not in Australia” (6). Tomlinson argues that the conceptions of cultural imperialism as both cultural domination and as a discourse of nationality are popular because of their highly ambiguous (and thus accommodating) nature (19, 23). However, both notions are problematic. Tomlinson immediately dismisses the notion of cultural imperialism as cultural domination, arguing that one should aim for specificity. “Imperialism” and “domination” are rather general notions, and as such both have sufficient conceptual breadth and ambiguity to accommodate most uses to which they might be put (19). Cultural imperialism as a discourse of nationality is similarly problematic, relying on the precise definitions of a series of terms—such as belonging, and indigenous culture—which have multiple inflections (24). Cultural imperialism has often been tracked as a process of homogenisation. Conceiving of cultural imperialism as homogenisation is particularly pertinent to the argument for the global homogeneity of graffiti. Cultural homogenisation makes “everywhere seem more or less the same,” assuming a global uniformity which is inherently Western, and in extreme cases, American (6). The implications of “Americanisation” are relevant to the attitudes of Australian graffiti writers. On the Blitzkrieg Bulletin Board—an internet board for Australian graffiti writers—I found evidence of a range of responses to “Americanisation” in Australian graffiti. One of the writers had posted: “you shouldn’t even be doing graff if you are a toy little kid, buying export paint and painting legal walls during the day … f*** all y’all niggaz!” s3 replied, “I do know that modern graffiti originated in America but … token are you American? Why do you want to talk like an American gangsta rapper?” The global currency of graffiti is one in which local originality and distinctiveness are highly prized. It is a source of shame for a writer to “bite”. Many of the writers I spoke to became irate when I suggested that Australian styles “bit” those of America. It seems inconsistent that Australian graffiti writers would reproduce American graffiti, if they do not even tolerate Australian writers using the word “nigga”. Like the argument that Australian graffiti replicates that of America, the concept of cultural imperialism is problematic. By the 1970s the concept was beginning to come apart at the seams, its “artificial coherence” exposed when subjected to a range of applications (Tomlinson, 8). Although the idea of cultural imperialism has been discredited and somewhat abandoned at the level of theory, the concept nonetheless continues to guide attitudes towards graffiti. Jeff Ferrell has argued that the interplay of cultural resources involved in worldwide graffiti directly locates it inside issues of cultural imperialism (“Review of Moscow Graffiti”, paragraph 5). Stylistic and subcultural consistencies are mobilised to substantiate assertions of the operation of cultural imperialism in the global form of graffiti. This serves to render it globally homogeneous. While many graffiti writers would concede that graffiti maintains certain global elements, few would agree that this is indicative of a global homogeneity of form. As part of the hip hop component of their website, Triple J conducted an investigation into graffiti. It found that “the graffiti aesthetic developed in New York has been modified with individual characteristics … and has transformed into a unique Australian style” (“Old Skool”, paragraph 6). Veteran writers Umph, Exit, Phibs and Dmote agree. Perth writer Zenith claims, “we came up with styles from the US back in the day and it has grown into something quite unique” (personal communication). Exit declares, “every city has its own particular style. Graffiti from Australia can easily be distinguished by graffiti artists. Australia has its own particular style” (1). Umph agrees: “to us writers, the differences are obvious” (2). Although some continue to perceive Australian graffiti as replicating that of America, it appears that this is no longer the case. Evidence has emerged that Australian graffiti has evolved into a unique and localised form, which no longer imitates that of America. “Going Over” Cultural Imperialism: Hip Hop Graffiti and Processes of Globalisation The argument that graffiti has developed local inflections has lately garnered increasing support due to new theories of global cultural interaction and exchange. The modern era has been characterised by the increasing circulation of goods, capital, knowledge, information, people, images, ideologies, technologies and practices across national borders and territorial boundaries (Appadurai, 230; Scholte, 10). Academic discussion of these developments has converged in recent years around the concept of “globalisation”. While cultural imperialism describes these movements as the diffusion of a foreign culture at the expense of a local one, globalisation interprets these profound changes as evidence of “a global ecumene of persistent cultural interaction and exchange” (Hannerz, 107). In such a view, the globe is not characterised by domination and homogenisation (as with cultural imperialism), but more in terms of exchange and heterogeneity. Recent studies acknowledge that globalisation is complex and multidimensional (Giddens, 30; Kalb, 1), even a process of paradoxes (Findlay, 30). Globalisation is frequently described in terms of contradictory processes—universalisation vs. particularisation, homogenisation vs. differentiation, integration vs. fragmentation. Another of these dialectical tendencies is that of localisation. Kloos defines localisation as representing “the rise of localised, culturally defined identities … localisation stresses sociocultural specificity, in a limited space” (281). While localisation initially appears to stand in opposition to globalisation, the concepts are actually involved in a dialectical process (Giddens, 64). The relationship between localisation and globalisation has been formulated as follows: “Processes of globalisation trigger identity movements leading to the creation of localised, cultural-specific, identities” (Kloos, 282). The development of localisation is particularly pertinent to this study of graffiti. The concept allows for local diversity and has led to the understanding that global cultural phenomena are involved in a process of exchange. Work around globalisation lends credence to the argument that, as graffiti has disseminated throughout the globe, it has mutated to the specific locale within which it exists. Graffiti has always been locally specific: from the early stages which witnessed writers such as Julio 204, Fran 207 and Joe 136 (the numbers referred to their street), to the more recent practice of suffixing tag names with the name of a writers’ crew and their area code. The tendency to include area codes has been largely abandoned in Australia as the law has responded to graffiti with increasing vigilance, but evolutions in graffiti have pointed towards the development of regionally specific styles which writers have come to recognise. Thus, graffiti cannot be thought of as a globally homogenous form, nor can it be said that Australian graffiti replicates that of America. As hip hop has circulated throughout the globe it has appeared to adopt local inflections, having adapted into something quite locally distinctive. In a sense hip hop has been “translated” to particular circumstances. It is now appropriate to consider Australian hip hop and graffiti as a translation of a global cultural phenomenon. A useful reference in this regard is Yuri Lotman, who designates dialogue as the elementary mechanism of translation (143). He suggests that participants involved in a dialogue alternate between a position of “transmission” and “reception” (144). Hence cultural developments are cyclical, and relationships between units—which may range from genres to national cultures—pass through periods of “transmission” and “reception” (144). Lotman proposes that the relationship between structures follows a pattern: at first, a structure will appear in decline, static, unoriginal. He records these “intermissions” as “pauses in dialogue”, during which the structure absorbs influences from the outside (144). When saturation reaches a certain limit, the structure begins producing its own texts as its “passive state changes to a state of alertness” (145). This is a useful way of comprehending Australian hip hop culture. It appears that the Australian hip hop scene has left behind its period of “reception” and is now witnessing one of “transmission” in which it is producing uniquely Australian flavours and styles. Of the contemporary graffiti I have observed, it appears that Australian writing is truly distinctive. Australian writers may have initially poached the subcultural codes developed by their American counterparts, however Australia has evolved to be truly unique where it counts—in graffiti styles. Distinctive graffiti styles can be witnessed, not only between different continents, but also within geographic locations. American graffiti registers a variety of locally specific forms. New York remains devoted to the letter, while graffiti on the west coast of America is renowned for its gang writing. American lettering styles tend to develop existing styles. New York wildstyle is easily recognised, and differs from letters in the Bay Area and San Francisco, which feature arrows inside the letters. While American graffiti is by and large concerned with letters, Australia has gained some repute for its exploration of characters. Like American writers, Australians employ characters poached from popular culture, but for the most part Australian writers employ characters and figures that they have invented themselves, often poaching elements from a wide variety of sources and utilising a wide variety of styles. Marine imagery, not usually employed in American graffiti, recurs in Australian pieces. Kikinit in the Park, a youth festival held in Fremantle in March 2001, featured a live urban art display by Bugszy Snaps, who combined oceanic and graffiti iconography, fusing sea creatures with spraypaint cans. Phibs also “uses images from the sea a lot” (Hamilton, 73), having grown up at the beach. In spite of this focus on the development of characters and images, Australia has not neglected the letter. While initially Australian graffiti artists imitated the styles developed in America, Australian lettering has evolved into something exceptional. Some writers have continued to employ bubble letters and wildstyle, and Australia has kept up with modifications in wildstyle that has seen it move towards 3D. Australia has cultivated this form of traditional wildstyle, elevating it to new heights. Sometimes it is combined with other styles; other times it appears as controlled wildstyle—set around a framework of some sort. In other instances, Australia has charted new territory with the letter, developing styles that are completely individual. Australian writing also blends a variety of lettering and graphic styles, combining letters and figures in new and exciting ways. Australian graffiti often fuses letters with images. This is relatively rare in American graffiti, which tends to focus on lettering and, on the whole, utilises characters to less effect than Australian graffiti. Conclusion Graffiti is not a globally homogeneous form, but one which has developed in locally specific and distinctive ways. As hip hop graffiti has circulated throughout the globe it has been translated between various sites and developed local inflections. In order to visualise graffiti in this manner, it is necessary to recognise theories of cultural imperialism as guiding the widespread belief that graffiti is a globally homogeneous form. I have refuted this view and the worth of cultural imperialism in directing attitudes towards graffiti, as there is a valid foundation for considering the local distinctiveness of Australian graffiti. By engaging critically with literature around globalisation, I have established a theoretical base for the argument that graffiti is locally specific. Envisaging the global form of hip hop graffiti as translated between various sites and having developed in locally specific ways has exposed the study of graffiti outside of the United States. Current writings on cultural studies and graffiti are dominated by the American academy, taking the United States as its centre. In rectifying this imbalance, I stress the need to recognise the distinctiveness of other cultures and geographic locations, even if they appear to be similar. While writers across Australia argue that their locations produce original styles, few have been willing to expound on how their scene is “fresh”. One writer I spoke with was an exception. Zenith explained that: “the way we are original is that our style has developed for so long, fermented if you will, because of Perth being so damned isolated” (personal communication). He went on to say: “I also happen to feel that we’re losing the originality every second of every day, for a number of reasons … with web sites, videos, magazines, and all this type of graffito affiliated stuff” (personal communication). Hip hop graffiti culture is one in which communication and exchange is of central concern. The circulation of this “graffito affiliated stuff”—websites, graffiti magazines, videos, books—as well as the fact that aerosol artists frequently travel to other cities and countries to write, demonstrates that this is a culture which, although largely identified with America, is also global in reach. This global interaction and exchange is increasingly characterised by a complex relationship which involves imitation and adaptation. Glossary Bite To copy another graffiti writer’s style Crew Organised group of graffiti writers Getting up Successful graffiti endeavour; to graffiti Going over To graffiti over another’s graffiti Piece The most sophisticated kind of graffiti, which includes characters, words and phrases Tag A stylised version of a signature; the most basic form of graffiti Throw up Two-dimensional version of a tag Wildstyle Style of graffiti characterised by interlocking letters and arrows Writer Graffiti artist; one who does graffiti References Andrews, Benedict. “If a Cleaner Can Review Graffiti Art, Then …” Sydney Morning Herald 15 Jan. 2001. 15 August 2001 http://www.smh.com.au/news/0101/15/features/features8.html>. Appadurai, Arjun. “Globalization and the Research Imagination.” International Social Science Journal 51.2 (1999): 229-38. Campbell, Ian. “The National Perspective.” Dealing with Graffiti. Ed. Graffiti Program, Government of Western Australia: Perth, 1997: 6-7. Chalfant, Henry, and James Prigroff. Spraycan Art. London: Thames & Hudson, 1987. Cooper, Martha, and Henry Chalfant. Subway Art. London: Thames & Hudson, 1984. “Exit”. n.d. [1998]. 18 Jul. 2001 http://loud.net.au/projects/digit/garry/exit.htm>. Ferrell, Jeff. “Review of Moscow Graffiti: Language and Subculture.” Social Justice 20.3-4 (1993): 188 (15). ———. “Urban Graffiti: Crime, Control, and Resistance.” Youth and Society 27 (1995-6): 73-87. Findlay, Mark. The Globalization of Crime: Understanding Transitional Relationships in Context. Cambridge: Cambridge UP, 1999. Giddens, Anthony. Runaway World: How Globalization Is Reshaping our Lives. New York: Routledge, 2000. Hamilton, Kate. “Can in Hand.” Rolling Stone 590 (2001): 72-5. Hannerz, Ulf. “Scenarios for Peripheral Cultures.” Culture, Globalization and the World-System: Contemporary Conditions for the Representation of Identity. Ed. Anthony D. King. Houndmills: Macmillan, 1991. 107-28. Kalb, Don. “Localizing Flows: Power, Paths, Institutions, and Networks.” The Ends of Globalization: Bringing Society Back In. Ed. Don Kalb. Boston: Rowman and Littlefield Publishers, 2000. 1-29. Kloos, Peter. “The Dialectics of Globalization and Localization.” The Ends of Globalization: Bringing Society Back In. Ed. Don Kalb. Boston: Rowman and Littlefield, 2000. 281-97. Leys, Nick. “Graffiti Removalist Gives Art Installation a Spray.” Sydney Morning Herald 9 January 2001. 9 Jan. 2001. http://www.smh.com.au/news/0101/09/national/national15.html>. Lotman, Yuri. The Universe of the Mind: A Semiotic Theory of Culture. Bloomington, IN: Indiana UP, 1990. “Old Skool.” Triple J. 2001. 18 Jul. 2001 http://www.abc.net.au/triplej/arts/graff/oldskool/default.htm>. s3. “Name & Email Supplied.” Online posting. 9 May 2004. Blitzkrieg Bulletin Board. 20 July 2001 http://network54.com/Forum>. Scholte, Jan Aarte. “Globalisation: Prospects For a Paradigm Shift.” Politics and Globalisation: Knowledge, Ethics and Agency. Ed. Martin Shaw. London: Routledge, 1999. 9-22. Stevens, Tony. “It’s Vandalism, It’s Illegal and It Causes Anguish and Frustration.” Sydney Morning Herald 5 Feb. 2001. 4 Mar. 2001 http://www.smh.com.au/news/0102/05/features/features10.html>. Style Wars. Dir. Tony Silver and Henry Chalfant. 1983. DVD. Passion River, 2005. Token. “F*** You Little Kids!” Online posting. 5 May 2000. Blitzkrieg Bulletin Board. 20 Jul. 2001 http://network54.com/Forum>. Tomlinson, John. Cultural Imperialism: A Critical Introduction. London: Pinter Publishers, 1991. Umph. n.d. [1998]. 18 Jul. 2001. http://loud.net.au/projects/digit/garry/umph.htm>. Wild Style. Dir. Charlie Ahearn. 1983. DVD. Rhino Theatrical, 2002. Citation reference for this article MLA Style Lombard, Kara-Jane. "“To Us Writers, the Differences Are Obvious”: The Adaptation of Hip Hop Graffiti to an Australian Context." M/C Journal 10.2 (2007). echo date('d M. Y'); ?> <http://journal.media-culture.org.au/0705/05-lombard.php>. APA Style Lombard, K. (May 2007) "“To Us Writers, the Differences Are Obvious”: The Adaptation of Hip Hop Graffiti to an Australian Context," M/C Journal, 10(2). Retrieved echo date('d M. Y'); ?> from <http://journal.media-culture.org.au/0705/05-lombard.php>.
Styles APA, Harvard, Vancouver, ISO, etc.
48

Murphy, Ffion, et Richard Nile. « The Many Transformations of Albert Facey ». M/C Journal 19, no 4 (31 août 2016). http://dx.doi.org/10.5204/mcj.1132.

Texte intégral
Résumé :
In the last months of his life, 86-year-old Albert Facey became a best-selling author and revered cultural figure following the publication of his autobiography, A Fortunate Life. Released on Anzac Day 1981, it was praised for its “plain, unembellished, utterly sincere and un-self-pitying account of the privations of childhood and youth” (Semmler) and “extremely powerful description of Gallipoli” (Dutton 16). Within weeks, critic Nancy Keesing declared it an “Enduring Classic.” Within six months, it was announced as the winner of two prestigious non-fiction awards, with judges acknowledging Facey’s “extraordinary memory” and “ability to describe scenes and characters with great precision” (“NBC” 4). A Fortunate Life also transformed the fortunes of its publisher. Founded in 1976 as an independent, not-for-profit publishing house, Fremantle Arts Centre Press (FACP) might have been expected, given the Australian average, to survive for just a few years. Former managing editor Ray Coffey attributes the Press’s ongoing viability, in no small measure, to Facey’s success (King 29). Along with Wendy Jenkins, Coffey edited Facey’s manuscript through to publication; only five months after its release, with demand outstripping the capabilities, FACP licensed Penguin to take over the book’s production and distribution. Adaptations soon followed. In 1984, Kerry Packer’s PBL launched a prospectus for a mini-series, which raised a record $6.3 million (PBL 7–8). Aired in 1986 with a high-rating documentary called The Facey Phenomenon, the series became the most watched television event of the year (Lucas). Syndication of chapters to national and regional newspapers, stage and radio productions, audio- and e-books, abridged editions for young readers, and inclusion on secondary school curricula extended the range and influence of Facey’s life writing. Recently, an option was taken out for a new television series (Fraser).A hundred reprints and two million readers on from initial publication, A Fortunate Life continues to rate among the most appreciated Australian books of all time. Commenting on a reader survey in 2012, writer and critic Marieke Hardy enthused, “I really loved it [. . .] I felt like I was seeing a part of my country and my country’s history through a very human voice . . .” (First Tuesday Book Club). Registering a transformed reading, Hardy’s reference to Australian “history” is unproblematically juxtaposed with amused delight in an autobiography that invents and embellishes: not believing “half” of what Facey wrote, she insists he was foremost a yarn spinner. While the work’s status as a witness account has become less authoritative over time, it seems appreciation of the author’s imagination and literary skill has increased (Williamson). A Fortunate Life has been read more commonly as an uncomplicated, first-hand account, such that editor Wendy Jenkins felt it necessary to refute as an “utter mirage” that memoir is “transferred to the page by an act of perfect dictation.” Sidonie Smith and Julia Watson argue of life narratives that some “autobiographical claims [. . .] can be verified or discounted by recourse to documentation outside the text. But autobiographical truth is a different matter” (16). With increased access to archives, especially digitised personnel records, historians have asserted that key elements of Facey’s autobiography are incorrect or “fabricated” (Roberts), including his enlistment in 1914 and participation in the Gallipoli Landing on 25 April 1915. We have researched various sources relevant to Facey’s early years and war service, including hard-copy medical and repatriation records released in 2012, and find A Fortunate Life in a range of ways deviates from “documentation outside of the text,” revealing intriguing, layered storytelling. We agree with Smith and Watson that “autobiographical acts” are “anything but simple or transparent” (63). As “symbolic interactions in the world,” they are “culturally and historically specific” and “engaged in an argument about identity” (63). Inevitably, they are also “fractured by the play of meaning” (63). Our approach, therefore, includes textual analysis of Facey’s drafts alongside the published narrative and his medical records. We do not privilege institutional records as impartial but rather interpret them in terms of their hierarchies and organisation of knowledge. This leads us to speculate on alternative readings of A Fortunate Life as an illness narrative that variously resists and subscribes to dominant cultural plots, tropes, and attitudes. Facey set about writing in earnest in the 1970s and generated (at least) three handwritten drafts, along with a typescript based on the third draft. FACP produced its own working copy from the typescript. Our comparison of the drafts offers insights into the production of Facey’s final text and the otherwise “hidden” roles of editors as transformers and enablers (Munro 1). The notion that a working man with basic literacy could produce a highly readable book in part explains Facey’s enduring appeal. His grandson and literary executor, John Rose, observed in early interviews that Facey was a “natural storyteller” who had related details of his life at every opportunity over a period of more than six decades (McLeod). Jenkins points out that Facey belonged to a vivid oral culture within which he “told and retold stories to himself and others,” so that they eventually “rubbed down into the lines and shapes that would so memorably underpin the extended memoir that became A Fortunate Life.” A mystique was thereby established that “time” was Albert Facey’s “first editor” (Jenkins). The publisher expressly aimed to retain Facey’s voice, content, and meaning, though editing included much correcting of grammar and punctuation, eradication of internal inconsistencies and anomalies, and structural reorganisation into six sections and 68 chapters. We find across Facey’s drafts a broadly similar chronology detailing childhood abandonment, life-threatening incidents, youthful resourcefulness, physical prowess, and participation in the Gallipoli Landing. However, there are also shifts and changed details, including varying descriptions of childhood abuse at a place called Cave Rock; the introduction of (incompatible accounts of) interstate boxing tours in drafts two and three which replace shearing activities in Draft One; divergent tales of Facey as a world-standard athlete, league footballer, expert marksman, and powerful swimmer; and changing stories of enlistment and war service (see Murphy and Nile, “Wounded”; “Naked”).Jenkins edited those sections concerned with childhood and youth, while Coffey attended to Facey’s war and post-war life. Drawing on C.E.W. Bean’s official war history, Coffey introduced specificity to the draft’s otherwise vague descriptions of battle and amended errors, such as Facey’s claim to have witnessed Lord Kitchener on the beach at Gallipoli. Importantly, Coffey suggested the now famous title, “A Fortunate Life,” and encouraged the author to alter the ending. When asked to suggest a title, Facey offered “Cave Rock” (Interview)—the site of his violent abuse and humiliation as a boy. Draft One concluded with Facey’s repatriation from the war and marriage in 1916 (106); Draft Two with a brief account of continuing post-war illness and ultimate defeat: “My war injuries caught up with me again” (107). The submitted typescript concludes: “I have often thought that going to War has caused my life to be wasted” (Typescript 206). This ending differs dramatically from the redemptive vision of the published narrative: “I have lived a very good life, it has been very rich and full. I have been very fortunate and I am thrilled by it when I look back” (412).In The Wounded Storyteller, Arthur Frank argues that literary markets exist for stories of “narrative wreckage” (196) that are redeemed by reconciliation, resistance, recovery, or rehabilitation, which is precisely the shape of Facey’s published life story and a source of its popularity. Musing on his post-war experiences in A Fortunate Life, Facey focuses on his ability to transform the material world around him: “I liked the challenge of building up a place from nothing and making a success where another fellow had failed” (409). If Facey’s challenge was building up something from nothing, something he could set to work on and improve, his life-writing might reasonably be regarded as a part of this broader project and desire for transformation, so that editorial interventions helped him realise this purpose. Facey’s narrative was produced within a specific zeitgeist, which historian Joy Damousi notes was signalled by publication in 1974 of Bill Gammage’s influential, multiply-reprinted study of front-line soldiers, The Broken Years, which drew on the letters and diaries of a thousand Great War veterans, and also the release in 1981 of Peter Weir’s film Gallipoli, for which Gammage was the historical advisor. The story of Australia’s war now conceptualised fallen soldiers as “innocent victims” (Damousi 101), while survivors were left to “compose” memories consistent with their sacrifice (Thomson 237–54). Viewing Facey’s drafts reminds us that life narratives are works of imagination, that the past is not fixed and memory is created in the present. Facey’s autobiographical efforts and those of his publisher to improve the work’s intelligibility and relevance together constitute an attempt to “objectify the self—to present it as a knowable object—through a narrative that re-structures [. . .] the self as history and conclusions” (Foster 10). Yet, such histories almost invariably leave “a crucial gap” or “censored chapter.” Dennis Foster argues that conceiving of narration as confession, rather than expression, “allows us to see the pathos of the simultaneous pursuit and evasion of meaning” (10); we believe a significant lacuna in Facey’s life writing is intimated by its various transformations.In a defining episode, A Fortunate Life proposes that Facey was taken from Gallipoli on 19 August 1915 due to wounding that day from a shell blast that caused sandbags to fall on him, crush his leg, and hurt him “badly inside,” and a bullet to the shoulder (348). The typescript, however, includes an additional but narratively irreconcilable date of 28 June for the same wounding. The later date, 19 August, was settled on for publication despite the author’s compelling claim for the earlier one: “I had been blown up by a shell and some 7 or 8 sandbags had fallen on top of me, the day was the 28th of June 1915, how I remembered this date, it was the day my brother Roy had been killed by a shell burst.” He adds: “I was very ill for about six weeks after the incident but never reported it to our Battalion doctor because I was afraid he would send me away” (Typescript 205). This account accords with Facey’s first draft and his medical records but is inconsistent with other parts of the typescript that depict an uninjured Facey taking a leading role in fierce fighting throughout July and August. It appears, furthermore, that Facey was not badly wounded at any time. His war service record indicates that he was removed from Gallipoli due to “heart troubles” (Repatriation), which he also claims in his first draft. Facey’s editors did not have ready access to military files in Canberra, while medical files were not released until 2012. There existed, therefore, virtually no opportunity to corroborate the author’s version of events, while the official war history and the records of the State Library of Western Australia, which were consulted, contain no reference to Facey or his war service (Interview). As a consequence, the editors were almost entirely dependent on narrative logic and clarifications by an author whose eyesight and memory had deteriorated to such an extent he was unable to read his amended text. A Fortunate Life depicts men with “nerve sickness” who were not permitted to “stay at the Front because they would be upsetting to the others, especially those who were inclined that way themselves” (350). By cross referencing the draft manuscripts against medical records, we can now perceive that Facey was regarded as one of those nerve cases. According to Facey’s published account, his wounds “baffled” doctors in Egypt and Fremantle (353). His medical records reveal that in September 1915, while hospitalised in Egypt, his “palpitations” were diagnosed as “Tachycardia” triggered by war-induced neuroses that began on 28 June. This suggests that Facey endured seven weeks in the field in this condition, with the implication being that his debility worsened, resulting in his hospitalisation. A diagnosis of “debility,” “nerves,” and “strain” placed Facey in a medical category of “Special Invalids” (Butler 541). Major A.W. Campbell noted in the Medical Journal of Australia in 1916 that the war was creating “many cases of little understood nervous and mental affections, not only where a definite wound has been received, but in many cases where nothing of the sort appears” (323). Enlisted doctors were either physicians or surgeons and sometimes both. None had any experience of trauma on the scale of the First World War. In 1915, Campbell was one of only two Australian doctors with any pre-war experience of “mental diseases” (Lindstrom 30). On staff at the Australian Base Hospital at Heliopolis throughout the Gallipoli campaign, he claimed that at times nerve cases “almost monopolised” the wards under his charge (319). Bearing out Facey’s description, Campbell also reported that affected men “received no sympathy” and, as “carriers of psychic contagion,” were treated as a “source of danger” to themselves and others (323). Credentialed by royal colleges in London and coming under British command, Australian medical teams followed the practice of classifying men presenting “nervous or mental symptoms” as “battle casualties” only if they had also been wounded by “enemy action” (Loughran 106). By contrast, functional disability, with no accompanying physical wounds, was treated as unmanly and a “hysterical” reaction to the pressures of war. Mental debility was something to be feared in the trenches and diagnosis almost invariably invoked charges of predisposition or malingering (Tyquin 148–49). This shifted responsibility (and blame) from the war to the individual. Even as late as the 1950s, medical notes referred to Facey’s condition as being “constitutional” (Repatriation).Facey’s narrative demonstrates awareness of how harshly sufferers were treated. We believe that he defended himself against this with stories of physical injury that his doctors never fully accepted and that he may have experienced conversion disorder, where irreconcilable experience finds somatic expression. His medical diagnosis in 1915 and later life writing establish a causal link with the explosion and his partial burial on 28 June, consistent with opinion at the time that linked concussive blasts with destabilisation of the nervous system (Eager 422). Facey was also badly shaken by exposure to the violence and abjection of war, including hand-to-hand combat and retrieving for burial shattered and often decomposed bodies, and, in particular, by the death of his brother Roy, whose body was blown to pieces on 28 June. (A second brother, Joseph, was killed by multiple bayonet wounds while Facey was convalescing in Egypt.) Such experiences cast a different light on Facey’s observation of men suffering nerves on board the hospital ship: “I have seen men doze off into a light sleep and suddenly jump up shouting, ‘Here they come! Quick! Thousands of them. We’re doomed!’” (350). Facey had escaped the danger of death by explosion or bayonet but at a cost, and the war haunted him for the rest of his days. On disembarkation at Fremantle on 20 November 1915, he was admitted to hospital where he remained on and off for several months. Forty-one other sick and wounded disembarked with him (HMAT). Around one third, experiencing nerve-related illness, had been sent home for rest; while none returned to the war, some of the physically wounded did (War Service Records). During this time, Facey continued to present with “frequent attacks of palpitation and giddiness,” was often “short winded,” and had “heart trouble” (Repatriation). He was discharged from the army in June 1916 but, his drafts suggest, his war never really ended. He began a new life as a wounded Anzac. His dependent and often fractious relationship with the Repatriation Department ended only with his death 66 years later. Historian Marina Larsson persuasively argues that repatriated sick and wounded servicemen from the First World War represented a displaced presence at home. Many led liminal lives of “disenfranchised grief” (80). Stephen Garton observes a distinctive Australian use of repatriation to describe “all policies involved in returning, discharging, pensioning, assisting and training returned men and women, and continuing to assist them throughout their lives” (74). Its primary definition invokes coming home but to repatriate also implies banishment from a place that is not home, so that Facey was in this sense expelled from Gallipoli and, by extension, excluded from the myth of Anzac. Unlike his two brothers, he would not join history as one of the glorious dead; his name would appear on no roll of honour. Return home is not equivalent to restoration of his prior state and identity, for baggage from the other place perpetually weighs. Furthermore, failure to regain health and independence strains hospitality and gratitude for the soldier’s service to King and country. This might be exacerbated where there is no evident or visible injury, creating suspicion of resistance, cowardice, or malingering. Over 26 assessments between 1916 and 1958, when Facey was granted a full war pension, the Repatriation Department observed him as a “neuropathic personality” exhibiting “paroxysmal tachycardia” and “neurocirculatory asthenia.” In 1954, doctors wrote, “We consider the condition is a real handicap and hindrance to his getting employment.” They noted that after “attacks,” Facey had a “busted depressed feeling,” but continued to find “no underlying myocardial disease” (Repatriation) and no validity in Facey’s claims that he had been seriously physically wounded in the war (though A Fortunate Life suggests a happier outcome, where an independent medical panel finally locates the cause of his ongoing illness—rupture of his spleen in the war—which results in an increased war pension). Facey’s condition was, at times, a source of frustration for the doctors and, we suspect, disappointment and shame to him, though this appeared to reduce on both sides when the Repatriation Department began easing proof of disability from the 1950s (Thomson 287), and the Department of Veteran’s Affairs was created in 1976. This had the effect of shifting public and media scrutiny back onto a system that had until then deprived some “innocent victims of the compensation that was their due” (Garton 249). Such changes anticipated the introduction of Post-Traumatic Shock Disorder (PTSD) to the Diagnostic and Statistical Manual of Mental Disorders (DSM) in 1980. Revisions to the DSM established a “genealogy of trauma” and “panic disorders” (100, 33), so that diagnoses such as “neuropathic personality” (Echterling, Field, and Stewart 192) and “soldier’s heart,” that is, disorders considered “neurotic,” were “retrospectively reinterpreted” as a form of PTSD. However, Alberti points out that, despite such developments, war-related trauma continues to be contested (80). We propose that Albert Facey spent his adult life troubled by a sense of regret and failure because of his removal from Gallipoli and that he attempted to compensate through storytelling, which included his being an original Anzac and seriously wounded in action. By writing, Facey could shore up his rectitude, work ethic, and sense of loyalty to other servicemen, which became necessary, we believe, because repatriation doctors (and probably others) had doubted him. In 1927 and again in 1933, an examining doctor concluded: “The existence of a disability depends entirely on his own unsupported statements” (Repatriation). We argue that Facey’s Gallipoli experiences transformed his life. By his own account, he enlisted for war as a physically robust and supremely athletic young man and returned nine months later to life-long anxiety and ill-health. Publication transformed him into a national sage, earning him, in his final months, the credibility, empathy, and affirmation he had long sought. Exploring different accounts of Facey, in the shape of his drafts and institutional records, gives rise to new interpretations. In this context, we believe it is time for a new edition of A Fortunate Life that recognises it as a complex testimonial narrative and theorises Facey’s deployment of national legends and motifs in relation to his “wounded storytelling” as well as to shifting cultural and medical conceptualisations and treatments of shame and trauma. ReferencesAlberti, Fay Bound. Matters of the Heart: History, Medicine, and Emotions. Oxford: Oxford UP, 2010. Butler, A.G. Official History of the Australian Medical Services 1814-1918: Vol I Gallipoli, Palestine and New Guinea. Canberra: Australian War Memorial, 1930.Campbell, A.W. “Remarks on Some Neuroses and Psychoses in War.” Medical Journal of Australia 15 April (1916): 319–23.Damousi, Joy. “Why Do We Get So Emotional about Anzac.” What’s Wrong with Anzac. Ed. Marilyn Lake and Henry Reynolds. Sydney: UNSWP, 2015. 94–109.Dutton, Geoffrey. “Fremantle Arts Centre Press Publicity.” Australian Book Review May (1981): 16.Eager, R. “War Neuroses Occurring in Cases with a Definitive History of Shell Shock.” British Medical Journal 13 Apr. 1918): 422–25.Echterling, L.G., Thomas A. Field, and Anne L. Stewart. “Evolution of PTSD in the DSM.” Future Directions in Post-Traumatic Stress Disorder: Prevention, Diagnosis, and Treatment. Ed. Marilyn P. Safir and Helene S. Wallach. New York: Springer, 2015. 189–212.Facey, A.B. A Fortunate Life. 1981. Ringwood: Penguin, 2005.———. Drafts 1–3. University of Western Australia, Special Collections.———. Transcript. University of Western Australia, Special Collections.First Tuesday Book Club. ABC Splash. 4 Dec. 2012. <http://splash.abc.net.au/home#!/media/1454096/http&>.Foster, Dennis. Confession and Complicity in Narrative. Cambridge: Cambridge UP, 1987.Frank, Arthur. The Wounded Storyteller. London: U of Chicago P, 1995.Fraser, Jane. “CEO Says.” Fremantle Press. 7 July 2015. <https://www.fremantlepress.com.au/c/news/3747-ceo-says-9>.Garton, Stephen. The Cost of War: Australians Return. Melbourne: Oxford UP, 1994.HMAT Aeneas. “Report of Passengers for the Port of Fremantle from Ports Beyond the Commonwealth.” 20 Nov. 1915. <http://recordsearch.naa.gov.au/SearchNRetrieve/Interface/ViewImage.aspx?B=9870708&S=1>.“Interview with Ray Coffey.” Personal interview. 6 May 2016. Follow-up correspondence. 12 May 2016.Jenkins, Wendy. “Tales from the Backlist: A Fortunate Life Turns 30.” Fremantle Press, 14 April 2011. <https://www.fremantlepress.com.au/c/bookclubs/574-tales-from-the-backlist-a-fortunate-life-turns-30>.Keesing, Nancy. ‘An Enduring Classic.’ Australian Book Review (May 1981). FACP Press Clippings. Fremantle. n. pag.King, Noel. “‘I Can’t Go On … I’ll Go On’: Interview with Ray Coffey, Fremantle Arts Centre Press, 22 Dec. 2004; 24 May 2006.” Westerly 51 (2006): 31–54.Larsson, Marina. “A Disenfranchised Grief: Post War Death and Memorialisation in Australia after the First World War.” Australian Historical Studies 40.1 (2009): 79–95.Lindstrom, Richard. “The Australian Experience of Psychological Casualties in War: 1915-1939.” PhD dissertation. Victoria University, Feb. 1997.Loughran, Tracey. “Shell Shock, Trauma, and the First World War: The Making of a Diagnosis and its Histories.” Journal of the History of Medical and Allied Sciences 67.1 (2012): 99–119.Lucas, Anne. “Curator’s Notes.” A Fortunate Life. Australian Screen. <http://aso.gov.au/titles/tv/a-fortunate-life/notes/>.McLeod, Steve. “My Fortunate Life with Grandad.” Western Magazine Dec. (1983): 8.Munro, Craig. Under Cover: Adventures in the Art of Editing. Brunswick: Scribe, 2015.Murphy, Ffion, and Richard Nile. “The Naked Anzac: Exposure and Concealment in A.B. Facey’s A Fortunate Life.” Southerly 75.3 (2015): 219–37.———. “Wounded Storyteller: Revisiting Albert Facey’s Fortunate Life.” Westerly 60.2 (2015): 87–100.“NBC Book Awards.” Australian Book Review Oct. (1981): 1–4.PBL. Prospectus: A Fortunate Life, the Extraordinary Life of an Ordinary Bloke. 1–8.Repatriation Records. Albert Facey. National Archives of Australia.Roberts, Chris. “Turkish Machine Guns at the Landing.” Wartime: Official Magazine of the Australian War Memorial 50 (2010). <https://www.awm.gov.au/wartime/50/roberts_machinegun/>.Semmler, Clement. “The Way We Were before the Good Life.” Courier Mail 10 Oct. 1981. FACP Press Clippings. Fremantle. n. pag.Smith, Sidonie, and Julia Watson. Reading Autobiography: A Guide for Interpreting Life Narratives. 2001. 2nd ed. U of Minnesota P, 2010.Thomson, Alistair. Anzac Memories: Living with the Legend. 1994. 2nd ed. Melbourne: Monash UP, 2013. Tyquin, Michael. Gallipoli, the Medical War: The Australian Army Services in the Dardanelles Campaign of 1915. Kensington: UNSWP, 1993.War Service Records. National Archives of Australia. <http://recordsearch.naa.gov.au/NameSearch/Interface/NameSearchForm.aspx>.Williamson, Geordie. “A Fortunate Life.” Copyright Agency. <http://readingaustralia.com.au/essays/a-fortunate-life/>.
Styles APA, Harvard, Vancouver, ISO, etc.
Nous offrons des réductions sur tous les plans premium pour les auteurs dont les œuvres sont incluses dans des sélections littéraires thématiques. Contactez-nous pour obtenir un code promo unique!

Vers la bibliographie