Littérature scientifique sur le sujet « Laser writer »

Créez une référence correcte selon les styles APA, MLA, Chicago, Harvard et plusieurs autres

Choisissez une source :

Consultez les listes thématiques d’articles de revues, de livres, de thèses, de rapports de conférences et d’autres sources académiques sur le sujet « Laser writer ».

À côté de chaque source dans la liste de références il y a un bouton « Ajouter à la bibliographie ». Cliquez sur ce bouton, et nous générerons automatiquement la référence bibliographique pour la source choisie selon votre style de citation préféré : APA, MLA, Harvard, Vancouver, Chicago, etc.

Vous pouvez aussi télécharger le texte intégral de la publication scolaire au format pdf et consulter son résumé en ligne lorsque ces informations sont inclues dans les métadonnées.

Articles de revues sur le sujet "Laser writer"

1

Onda, Hajime, Akinobu Asahara et Shoji Fujii. « Development of Laser Beam Writer. » Journal of the Japan Society for Precision Engineering 58, no 11 (1992) : 1837–42. http://dx.doi.org/10.2493/jjspe.58.1837.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
2

Fu, Xing, Hui Qi, Yu Wen Zhao et Dong Xu. « Experimental Study on Formation of the Microstructure on Copper Film Using Ultraviolet Nanosecond Laser ». Applied Mechanics and Materials 870 (septembre 2017) : 395–400. http://dx.doi.org/10.4028/www.scientific.net/amm.870.395.

Texte intégral
Résumé :
In this paper, the ablated microstructures on copper film affected by ultraviolet nanosecond pulse laser are presented. The experimental system was consisted of two lasers, optics and controlling electronics. A 3000mW, 355nm Q-switched ultraviolet lasers was used to the micro-polishing experiments in the work. The repetition rate of the ultraviolet pulse laser is from single-shot to 100kHz, and the pulse width is less than 40ns. The sample used in experiment is copper film (200 nm) sputtered on glass. A series of experiments at different laser parameters and speed of work platform are done. The ablating experiments are also carried out on focusing and defocusing application in the laser direct writer. The results were analyzed.
Styles APA, Harvard, Vancouver, ISO, etc.
3

Rhee, Hyug Gyo, et Yun Woo Lee. « Linewidth Enhancement in Direct Laser Writer by Using an Interference Phenomenon ». Key Engineering Materials 516 (juin 2012) : 198–202. http://dx.doi.org/10.4028/www.scientific.net/kem.516.198.

Texte intégral
Résumé :
The linewidth of a direct laser writer is limited by the Rayleigh criteria. We propose a new direct laser writer that can overcome this limitation by employing the interference phenomenon. An interference generator is introduced into our system to separate an input beam into two orthogonally polarized beams. After going through an exposure lens, these two beams meet again on the focal point, and generate a small interferogram that sharpens the shape of the focused beam spot.
Styles APA, Harvard, Vancouver, ISO, etc.
4

Xie, Yongjun, Zhenwu Lu et Fengyou Li. « Lithographic fabrication of large curved hologram by laser writer ». Optics Express 12, no 9 (2004) : 1810. http://dx.doi.org/10.1364/opex.12.001810.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
5

Xie, Yongjun, Zhenwu Lu et Fengyou Li. « Method for correcting the joint error of a laser writer ». Optics Express 11, no 9 (5 mai 2003) : 975. http://dx.doi.org/10.1364/oe.11.000975.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
6

Liang, Y. Y., F. Tian, J. B. Luo et G. G. Yang. « Design of High Precise Focusing System in Laser Direct Writer ». Journal of Physics : Conference Series 48 (1 octobre 2006) : 1031–36. http://dx.doi.org/10.1088/1742-6596/48/1/192.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
7

Lu, Zhenwu, Hua Liu, Ruiting Wang, Fengyou Li et Yichun Liu. « Diffractive axicons fabricated by laser direct writer on curved surface ». Journal of Optics A : Pure and Applied Optics 9, no 2 (4 janvier 2007) : 160–64. http://dx.doi.org/10.1088/1464-4258/9/2/007.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
8

Laila M, Alif, Pragya Tiwari, Himal Bhatt et A. K. Srivastava. « Fabrication of frequency selective metamaterial structure using low-cost laser writer ». Vacuum 170 (décembre 2019) : 108975. http://dx.doi.org/10.1016/j.vacuum.2019.108975.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
9

Zhang, Hongxin, Zhenwu Lu et Fengyou Li. « Fabrication of a curved linear grating by using a laser direct writer system ». Optics Communications 266, no 1 (octobre 2006) : 249–52. http://dx.doi.org/10.1016/j.optcom.2006.04.067.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
10

Ramirez, Jhonattan C., Celio A. Finardi et Roberto R. Panepucci. « SU-8 GPON Diplexer Based On H-Line Lithography by Direct Laser Writer ». IEEE Photonics Technology Letters 30, no 2 (15 janvier 2018) : 205–8. http://dx.doi.org/10.1109/lpt.2017.2781803.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.

Thèses sur le sujet "Laser writer"

1

Codan, Barbara. « New approaches for discrete and continuum analysis of the mechanical behaviour of cell ». Doctoral thesis, Università degli studi di Trieste, 2009. http://hdl.handle.net/10077/3099.

Texte intégral
Résumé :
2007/2008
La tesi qui presentata riguarda la meccanica delle cellule. Negli ultimi anni l’interesse della comunità scientifica è stato rivolto a questo aspetto della biologia cellulare, perché, com’è stato dimostrato, all’interno della cellula stimoli meccanici e segnali biochimici sono strettamente correlati, ma ancora non è chiaro il meccanismo che li lega. Le tecniche disponibili si suddividono in due grandi categorie in base al numero di cellule analizzate, ovvero si differenziano in base allo studio su una popolazione cellulare o su singola cellula. Dopo un’attenta analisi delle metodologie disponibili, si è deciso di sviluppare due nuovi metodi. Il primo riguarda la deformazione di un gel poliacrilammidico su cui sono state depositate delle particelle fluorescenti. Questo metodo trae ispirazione dalla deformazione di substrati e dalla traction force microscopy, ovvero dallo studio dello spostamento delle particelle dovuto alla presenza della cellula è possibile ottenere informazioni sulle forze applicate da quest’ultima. Un nuovo dispositivo è stato realizzato ed ha permesso di tirare il gel e quindi deformare una singola cellula e di studiare la risposta alla deformazione. In parallelo a questi studi caratterizzati dall’impiego di un substrato continuo e macroscopico, si è deciso di sviluppare un nuovo dispositivo microelettromeccanico (MEMS), in cui l’aspetto più innovativo è la presenza sullo stesso dispositivo di attuatori, deputati alla deformazione della cellula, e di sensori, che permettono di leggere le componenti della forza esercitata dalla cellula in risposta alla deformazione esercitata con gli attuatori. Per entrambe queste componenti si è scelta la struttura del comb drive. Tale dispositivo è stato progettato seguendo i vincoli costruttivi della tecnologia SOIMUMPs®, che realizza dispositivi MEMS con tecnologia SOI, una delle più adatte allo studio cellulare. Sono state effettuate delle simulazioni agli elementi finiti, in particolare del sensore, in modo da poter valutare la sensibilità, che risulta essere dell’ordine dei µN. Durante la progettazione di questo dispositivo, è sorto il problema del posizionamento della cellula al centro del MEMS. La soluzione arriva dalla localizzazione di spot di proteine, che creano punti di ancoraggio per la cellula. In letteratura sono presenti alcuni lavori sul patterning di proteine, ma nessuno di questi soddisfa i vincoli imposti da un dispositivo tridimensionale quale il MEMS progettato. Un nuovo utilizzo di uno spettroscopio per microraman è stato sviluppato nell’ambito della litografia maskless. Tale tecnica permette di realizzare substrati patternati con risoluzione submicrometrica senza il vincolo di superfici piatte e la presenza di una maschera. Tale tecnica è stata utilizzata per depositare spot di proteine. Sono state testate positivamente la resistenza della fibronectina al processo litografico e la compatibilità di quest’ultima alle cellule dopo il trattamento della litografia. Il risultato finale è stata la realizzazione di spot proteici con geometrie definite dall’utente e dimensioni paragonabili a quelle dei complessi cellulari per l’adesione (focal adhesion).
The subject of this thesis is the mechanics of cell. Recent studies demonstrate that mechanical stimuli and biochemical signals are strictly interconnected in the cell, but these phenomena are not completely understood. Different techniques are available to study the mechanics of cell. They differ for the number of cells analyzed, from cells population to single cell techniques. After an exhaustive analysis of these available methods, two new techniques have been developed. The first one is a combination of substrate deformation and the traction force microscopy. By pulling a polyacrylamide gel, it is possible to obtain information about cell forces, looking at the displacement of fluorescent beads deposited on top of the gel. A new device has been devised and realized and the gel pulled. This technique permits to obtain information on the deformation of a single cell. While this project is characterized by a continuous and macroscopic substrate, a new microscopic device has also been developed. A micro electromechanical system (MEMS) is investigated. The most innovative aspect of the device is the introduction, on the same device, of actuators, which provide cell deformation, and sensors, which permit to read the cell response to the deformation. Both sensors and actuators present a comb drive structure. This new device follows the design rules of the SOIMUMPs® technique; this company provides MEMS device with SOI technology, suitable for the application here presented. The sensor and the actuator have been simulated by finite elements analysis, to evaluate the displacement and the sensitivity, that is in the range of µN. During the development of the MEMS, the problem of cell positioning in the center of the device arose. A solution can be found in the protein patterning. Proteins spots are the connection points between the cell and the substrate. Previous investigations present protein patterning methods, but none of them are suitable for the three dimensional structure of the MEMS and non-flat surfaces. Maskless lithography has been implemented with a Raman spectroscopy microprobe. This technique enables one to deposit proteins on three dimensional structures, such as the MEMS here developed. Tests on fibronectin resistance to the lithographic process and its compatibility with cells have been performed. The final result is proteins spots with geometries defined by the operator and dimensions similar to focal adhesion complex.
XXI Ciclo
1980
Styles APA, Harvard, Vancouver, ISO, etc.
2

Jin, Di. « Phase-shifting techniques for laser direct write systems ». Thesis, National Library of Canada = Bibliothèque nationale du Canada, 1997. http://www.collectionscanada.ca/obj/s4/f2/dsk3/ftp04/mq24165.pdf.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
3

Sato, Taku. « Laser assisted ink consolidation for Direct Write Component Fabrication ». Thesis, University of Liverpool, 2010. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.540068.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
4

Kingsley, David Michael. « Fabrication and Engineering of 3D Laser Direct Write Microenvironments ». Thesis, Rensselaer Polytechnic Institute, 2019. http://pqdtopen.proquest.com/#viewpdf?dispub=10935067.

Texte intégral
Résumé :

Three-dimensional (3D) bioprinting is a rapidly growing field that is particularly well suited for “bottom up” tissue engineering, largely due to its ability to control the 3D shape of the engineered construct, as well as its constituents (e.g., cells and/or material) and their spatial distribution. A variety of nozzle-based techniques have emerged for tissue engineering, and while these excel at building large 3D architectures, they suffer from moderate print resolution and limited printable materials, making them less attractive for smaller, high-resolution constructs. This is due in part to shearing effects and clogging of the nozzle. Thus, alternative printing methods are needed to create smaller constructs requiring high-spatial pattern resolution and size control.

Our laboratory has previously developed a laser-based biofabrication platform, gelatin-based laser direct-write (LDW) as a technique for bioprinting highly viable cells with spatial resolution unmatched by other printing techniques in 2D. In this thesis, a novel single-step technique was developed to extend this platform to fabricate and spatially pattern 3D alginate microbeads. With this new method, we demonstrate excellent size-control of fabricated microbeads by manipulating the beam diameter used for deposition. We further show that deposited beads have excellent pattern registry, and cells within LDW microbeads maintain high cellular viability. Additionally, we demonstrate that this technique is compatible with our laboratory’s 2D laser direct-writing of cells, illustrating the ability to fabricate spatially-precise, hybrid, 2D/3D cultures of cells and cell-loaded microbeads. Within cellular applications, the mechanical properties of the extracellular matrix have become an important feature for regulating behavior. To further develop our control over the cellular microenvironment, we demonstrate our ability to mechanically tune the stiffness of LDW-printed microbeads, by varying the crosslinking divalent cation and cation concentration used in the LDW microbead fabrication process. Microbead mechanical properties were determined using large printed arrays of microbeads (12 × 12 array) to amplify the resistance generated during traditional compression testing. Using this method, we demonstrated microbead mechanical properties could be tuned by adjusting fabrication and crosslinking parameters, to achieve a wide range of elastic moduli, from physiologic to pathologic values. While this was a valuable step to demonstrate our ability to control aspects of the engineered cellular microenvironment, our alginate structures were still largely limited for cellular interaction due to the lack of adhesion ligands. The inability for cells to interact with the alginate prevents migration within the matrix.

To overcome the limitations of the inert alginate of our microbeads, we used an established materials processing approach to produce core-shelled microcapsules. This technique consists of coating the printed microbead with a positively charged polymer (e.g., chitosan or poly-L-lysine), to produce a polyelectrolyte membrane around the bead, then chelating the calcium crosslinking the interior. This resulted in a polymeric shell with an aqueous core entrapping the cellular payload. We found that core-shelled microcapsules from LDW microbeads maintained their pattern fidelity through processing, and encapsulated cells retained high viability. Cancer cells and stem cells encapsulated within these structures were observed to self-assemble to form size-controlled 3D aggregates; tumor spheroids and embryoid bodies, respectively.

In addition to creating conventional core-shelled microcapsules, we demonstrate that LDW’s spatial precision can be leveraged to produce advanced core-shelled structures of customizable planar geometries, by utilizing single microbeads as voxels, and patterning these in overlapping arrays. Using this technique, we were able to create custom geometries, such as microstrands, bifurcations, rectangular mats, and rings, wherein aggregating cells self-assembled to make continuous three-dimensional aggregates that conform to the shape of the structure. Overall, this doctoral thesis research developed a powerful, laser-based method for engineering custom 3D microenvironments, with applications in tumor modeling and regenerative medicine. These advances hold great promise for fabricating the next generation in vitro diagnostics.

Styles APA, Harvard, Vancouver, ISO, etc.
5

Ng, Sandy. « Ultrafast laser written bulk waveguides and gratings ». Thesis, National Library of Canada = Bibliothèque nationale du Canada, 2000. http://www.collectionscanada.ca/obj/s4/f2/dsk1/tape4/PQDD_0016/MQ53441.pdf.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
6

Huang, Leilei. « Fabrication and characterisation of ultrafast direct laser written waveguides ». Thesis, University of Oxford, 2015. https://ora.ox.ac.uk/objects/uuid:7e40e1ee-fcc3-4797-953d-8be5c7af1334.

Texte intégral
Résumé :
A novel ultrafast direct laser writing (DLW) system using adaptive optics is proposed and demonstrated. This system has the potential to generate high-quality three-dimensional (3D) optical waveguides and components. The experimental setup and procedures for the DLW process are studied, after which various optical waveguides are fabricated in different transparent materials. The resulting waveguides are characterised by the measurement of the near-field laser coupling profiles in combination with optical microscopy techniques. Quantum random number generation (QRNG) and the potential application of the DLW technique in quantum information is also discussed. To completely understand the fabrication procedures for the DLW system, the experimental equipment and effects of different fabrication parameters are studied and analysed. With the use of a liquid-crystal spatial light modulator (SLM) in the DLW system, dynamic control of phase modulation can be provided to correct aberrations adaptively. An SLM can also make the cross-sectional profile of the written waveguides more circular and facilitate the fabrication of more complex 3D structures. Experiments reveal that the shape of the focal spot can be improved dramatically with adaptive optics, resulting in higher-quality optical waveguides. The refractive-index information of the written waveguides and their optical properties are measured using the propagation-mode near-field method (PMNFM). Simulation results and experimental measurements of a commercial single-mode fiber and a waveguide sample are demonstrated and compared. Quantitative phase measurement is also applied via the transport of intensity equation (TIE) to monitor the refractive-index change during fabrication. The propagation losses of the waveguides are measured and discussed. Different optical waveguides are fabricated using DLW in fused silica, potassium dihydrogen phosphate (KDP), and lithium niobate (LiNbO3) crystals. Different materials have different characteristics and properties, requiring different fabrication parameters and resulting in waveguides exhibiting different properties. Waveguides at various depths are demonstrated both with and without effects of adaptive optics. Experimental results indicate great improvements in the quality of the written waveguides after aberration correction. With an understanding of the optical properties of the straight waveguides using the characterisation methods, modelling and fabrication of bend waveguides and y-splitters are presented and studied. A high-speed QRNG system is also demonstrated in this thesis, with potential implementation using the DLW technique for a more compact and stable system. Finally, the possibility of the DLW fabrication of complex 3D optical components and their applications are discussed for future work.
Styles APA, Harvard, Vancouver, ISO, etc.
7

Katis, Ioannis. « Laser direct write techniques for the fabrication of paper-based diagnostic devices ». Thesis, University of Southampton, 2015. https://eprints.soton.ac.uk/388397/.

Texte intégral
Résumé :
We report on the use of laser direct-write techniques for the fabrication of point-of-care paper-based diagnostic sensors. These include laser-based deposition, laser ablation and laser-induced photo-polymerisation. Firstly, Laser Induced Forward Transfer (LIFT) was employed to deposit biomolecules from a donor film onto paper receivers. Paper was chosen as the ideal receiver because of its inherent properties which make it an efficient and suitable platform for point-of-care diagnostic sensors. Both enzyme-tagged and untagged antibodies were LIFT-printed and their viability was confirmed via a colorimetric enzyme-linked immunosorbent assay (ELISA). Secondly, we report on the laser-based structuring of paper-based fluidic devices. Laser-scanning the paper defines the areas that will be polymerised, thus creating barriers that keep the liquid solutions contained. Complicated devices are easy to fabricate and the flexibility of this technique allows for unique patterns, making it appropriate for rapid prototyping but also for large-scale production. Furthermore, the laser patterning technique allows control of the depth or degree of polymerisation, thereby allowing the liquid to wick through but also imposition of flow delays. Finally, the use of lasers for the fabrication of a 'master' which can be used for casting a PDMS mould for applications in micro-contact printing. The combination of the above mentioned techniques represent the platform technology for the rapid, precise and versatile laser-based fabrication of diagnostic point-of-care sensors.
Styles APA, Harvard, Vancouver, ISO, etc.
8

Xu, Bojun. « Inkjet printing of silver for direct write applications ». Thesis, University of Manchester, 2010. https://www.research.manchester.ac.uk/portal/en/theses/inkjet-printing-of-silver-for-direct-write-applications(8aaa64de-fd4f-4ffa-97df-0fca6977bfdc).html.

Texte intégral
Résumé :
Direct Write (DW) defines an emerging group of technologies that allow the printing of electronic and other functional components out of vacuum, directly onto conformal surfaces. Both ink-jet and nozzle deposition technologies, which are seen to be complementary for the wide range of materials and processing required by industry, are employed in this project.Silver neodecanoate salt is sensitive to both light source, including ultra violet light (UV) and heat source, and is a good inkjet printing precursor when dissolved in xylene. We have studied the electrical properties of inkjet printed silver samples, derived from silver neodecanoate ink, and investigated the influence of UV treatment before thermal curing the silver samples. UV exposure at room temperature is believed to control the nucleation of silver particles. In addition we have studied the influence of thermal pre-treatment on the printed samples. This pre-treatment is thought to assist the neodecanoate precursor to form a uniform distribution of silver nanoparticles. The influence of UV exposure, thermal pre-treatment and the thermal curing conditions on subsequent track microstructure, and its influence on electrical resistivity is reported for glass substrates. Furthermore, a series of extruding experimental at different nozzle offset and pumping pressure settings are conducted based on the rheological property of silicone oil and silver paste to find the ideal condition for producing continuous tracks with good shape. These findings are used to simulate a computer model for further applications.
Styles APA, Harvard, Vancouver, ISO, etc.
9

Choi, Jiyeon. « Femtosecond laser Written Volumetric Diffractive Optical Elements and Their Applications ». Doctoral diss., University of Central Florida, 2009. http://digital.library.ucf.edu/cdm/ref/collection/ETD/id/6230.

Texte intégral
Résumé :
Since the first demonstration of femtosecond laser written waveguides in 1996, femtosecond laser direct writing (FLDW) has been providing a versatile means to fabricate embedded 3-D microstructures in transparent materials. The key mechanisms are nonlinear absorption processes that occur when a laser beam is tightly focused into a material and the intensity of the focused beam reaches the range creating enough free electrons to induce structural modification. One of the most useful features that can be exploited in fabricating photonic structures is the refractive index change which results from the localized energy deposition. The laser processing system for FLDW can be realized as a compact, desktop station, implemented by a laser source, a 3-D stage and focusing optics. Thus, FLDW can be readily adopted for the fabrication of the photonic devices. For instance, it has been widely employed in various areas of photonic device fabrication such as active and passive waveguides, couplers, gratings, opto-fluidics and similar applications. This dissertation describes the use of FLDW towards the fabrication of custom designed diffractive optical elements (DOE's). These are important micro-optical elements that are building blocks in integrated optical devices including on-chip sensors and systems. The fabrication and characterization of laser direct written DOEs in different glass materials is investigated. The design and performance of a range of DOE's is described, especially, laser-written embedded Fresnel zone plates and linear gratings. Their diffractive efficiency as a function of the fabrication parameters is discussed and an optimized fabrication process is realized. The potential of the micro-DOEs and their integration shown in this dissertation will impact on the fabrication of future on-chip devices involving customized DOEs that will serve great flexibility and multi-functional capability on sensing, imaging and beam shaping.
Ph.D.
Doctorate
Optics and Photonics
Optics
Styles APA, Harvard, Vancouver, ISO, etc.
10

Suyal, Himanshu. « Direct laser-written polymer structures for guided-wave optical interconnects ». Thesis, Heriot-Watt University, 2006. http://hdl.handle.net/10399/2154.

Texte intégral
Résumé :
This thesis describes the developments of guided-wave optical interconnects suitable for integration with printed circuit boards. The technology is based around direct laser writing of waveguides and other features in a newly developed multifunctional acrylate polymer system, using a He-Cd (325 nm) laser. It was demonstrated that, by writing with a laser spot having top-hat intensity profile, more sharply defined vertical and angled sidewalls could be achieved, compared to conventional methods using a Gaussian beam. Typical dimensions of the multimode waveguides were 50 x 50 J.lm, written with 50 J.lW of optical power with 100 J.lm/s scanning speed. The waveguide losses were measured, using the cut-back technique, to be -0.6 dB/em. A novel oil-immersion technique was developed to.overcome the limitations of refraction of the laser beam at the air / polymer inte~face and hence directly write 45° angled structures in the polymer. Metallised 45° out-of-plane mirrors were fabricated using these angled polymer structures and losses were measured to be ~ 0.8 dB per reflection. Successful coupling of optical signals between waveguides in different layers was also demonstrated in a double layer structure, in which the out-ofplane 45° mirrors provided the necessary optical connectivity. Direct laser writing was also employed to fabricate ~50 J.lm wide and 100 J.lm high polymer bumps for use in flip-chip bonding. Electroless gold plating was used to selectively metallise the polymer bumps and to produce electrical tracks on the substrate. Electrical resistances between the top of the bump and a lower metal pad were measured as less than ~5 ohms.
Styles APA, Harvard, Vancouver, ISO, etc.

Livres sur le sujet "Laser writer"

1

Maybe I'll write more later ... maybe. London, England : Austin Macauley Publishers, 2015.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
2

Bennett, G. H. The later life of Lord Curzon of Kedleston--aristocrat, writer, politician, statesman : An experiment in political biography. Lewiston, N.Y : Edwin Mellen Press, 2000.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
3

Moyise, Steve. The later New Testament writers and scripture : The Old Testament in acts, Hebrews, the Catholic Epistles and Revelation. London : SPCK, 2012.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
4

Moyise, Steve. The later New Testament writers and scripture : The Old Testament in acts, Hebrews, the Catholic Epistles and Revelation. London : SPCK, 2012.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
5

Cavuoto, James. Laser Write It ! Addison-Wesley (C), 1986.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
6

Moyise, Steve. Later New Testament Writers and Scripture. SPCK Publishing, 2012.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
7

Thoughts to Think about Later : : Write It Now, Unpack Later. Independently Published, 2021.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
8

Hunter, G. K. Shakespeare : the Later Comedies : The Later Comedies (Writers and Their Work). Northcote House Educational Publishers, 1996.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
9

Eller, Jonathan R. Hannes Bok and the Lorelei. University of Illinois Press, 2017. http://dx.doi.org/10.5406/illinois/9780252036293.003.0004.

Texte intégral
Résumé :
This chapter discusses Hans (later Hannes) Bok's influence on Ray Bradbury's short fiction “Lorelei,” which was inspired by a gift the latter received from the artist and writer: a tempura painting of a strange Bokian creature. In Los Angeles, Bradbury continued to write stories. In the middle of his senior year Bradbury met Bok at one of the meetings of Science Fiction League and was drawn to his tempura compositions. This chapter first looks at Bradbury's friendship with Hannes Bok and their shared fondness for fantasy literature and fairy tales before turning to “Lorelei,” a 10,000-word novella written in July 1938 that articulates Bradbury's fears that the coming World War would destroy him before he could become a writer. It also considers Bradbury's religious faith and experiences, along with his conviction that Man would eventually solve the riddle of the universe.
Styles APA, Harvard, Vancouver, ISO, etc.
10

Hardy, Barbara Nathan. James, Henry : The Later Writing (Writers and Their Work). Northcote House Educational Publishers, 1995.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.

Chapitres de livres sur le sujet "Laser writer"

1

Raiber, A., F. Dausinger et H. Hügel. « Mikrostrukturierung von Keramiken im Direct-Write-Verfahren mit Festkörperlasern ». Dans Laser in Forschung und Technik / Laser in Research and Engineering, 999–1002. Berlin, Heidelberg : Springer Berlin Heidelberg, 1996. http://dx.doi.org/10.1007/978-3-642-80263-8_196.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
2

Cremin, Teresa, et David Reedy. « Creatively Engaging Writers in the Later Primary Years ». Dans Teaching English Creatively, 90–108. 3e éd. London : Routledge, 2022. http://dx.doi.org/10.4324/9781003055372-7.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
3

Szameit, Alexander, Felix Dreisow et Stefan Nolte. « Discrete Optics in Femtosecond Laser Written Waveguide Arrays ». Dans Topics in Applied Physics, 351–88. Berlin, Heidelberg : Springer Berlin Heidelberg, 2011. http://dx.doi.org/10.1007/978-3-642-23366-1_13.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
4

Heinrich, Matthias, Stefan Nolte et Alexander Szameit. « Nonlinear Light Propagation in Laser-Written Waveguide Arrays ». Dans Planar Waveguides and other Confined Geometries, 185–205. New York, NY : Springer New York, 2014. http://dx.doi.org/10.1007/978-1-4939-1179-0_8.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
5

Arnone, C., et C. Zizzo. « Physical Properties of Laser Written Chromium Oxide Thin Films ». Dans Emerging Technologies for In Situ Processing, 241–47. Dordrecht : Springer Netherlands, 1988. http://dx.doi.org/10.1007/978-94-009-1409-4_25.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
6

Stewart, Victoria. « Elizabeth Ferrars (Pseudonym of Morna Doris McTaggart, later Brown, Published as E. X. Ferrars in USA, 1907–1995), 1932 : Turn Single (writing as Morna McTaggart) ». Dans 100 British Crime Writers, 161–63. London : Palgrave Macmillan UK, 2020. http://dx.doi.org/10.1057/978-1-137-31902-9_35.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
7

Tian, Z., N. R. Quick et Aravinda Kar. « Laser Direct Write Doping and Metallization Fabrication of Silicon Carbide PIN Diodes ». Dans Silicon Carbide and Related Materials 2005, 823–26. Stafa : Trans Tech Publications Ltd., 2006. http://dx.doi.org/10.4028/0-87849-425-1.823.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
8

Benayas, A., D. Jaque, A. Ródenas, E. Cantelar, L. Roso et G. A. Torchia. « Mirrorless Continuous Wave Laser Emission from Nd:YAG Ceramic Femtosecond-Written Waveguides ». Dans Ceramic Transactions Series, 649–54. Hoboken, NJ, USA : John Wiley & Sons, Inc., 2010. http://dx.doi.org/10.1002/9780470640845.ch94.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
9

Judge, Jennifer. « Female as Flesh in the Later Middle Ages and the “Bodily Knowing” of Angela of Foligno ». Dans The Catholic Church and Unruly Women Writers, 9–23. New York : Palgrave Macmillan US, 2007. http://dx.doi.org/10.1057/9780230609303_2.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
10

Chardin, Brice, Olivier Pasteur et Jean-Marc Petit. « An FTL-Agnostic Layer to Improve Random Write on Flash Memory ». Dans Database Systems for Adanced Applications, 214–25. Berlin, Heidelberg : Springer Berlin Heidelberg, 2011. http://dx.doi.org/10.1007/978-3-642-20244-5_21.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.

Actes de conférences sur le sujet "Laser writer"

1

Wilson, Michael A. « Metamorphosis of laser writer ». Dans 10th Annual Symposium on Microlithography, sous la direction de James N. Wiley. SPIE, 1991. http://dx.doi.org/10.1117/12.29749.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
2

Kessler, David, Don DeJager et Mark Noethen. « High Resolution Laser Writer ». Dans OE/LASE '89, sous la direction de Leo Beiser, Stephen L. Corsover, John M. Fleischer, Vsevolod S. Mihajlov et Ken-Ichi Shimazu. SPIE, 1989. http://dx.doi.org/10.1117/12.952782.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
3

Robichaud, Joseph, John P. Hogan et Robert Gonsalves. « Pc-Based Image Analysis Of Laser Writer Copy ». Dans OE/LASE '89, sous la direction de Kennard S. Cloud. SPIE, 1989. http://dx.doi.org/10.1117/12.952561.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
4

Kobayashi, Hideo, Keishi Asakawa et Yasunori Yokoya. « Photomask blanks enhancement for the laser reticle writer ». Dans Photomask Japan 1995, sous la direction de Hideo Yoshihara. SPIE, 1995. http://dx.doi.org/10.1117/12.212790.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
5

Grenon, Brian J., D. C. Defibaugh, Donna M. Sprout, Henry Chris Hamaker et Peter D. Buck. « Technical performance of the ALTA-3000 laser writer ». Dans 14th Annual BACUS Symposium on Photomask Technology and Management, sous la direction de William L. Brodsky et Gilbert V. Shelden. SPIE, 1994. http://dx.doi.org/10.1117/12.195845.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
6

Goltsos, William C., et Sharlene A. Liu. « Polar-coordinate laser writer for binary optics fabrication ». Dans OE/LASE '90, 14-19 Jan., Los Angeles, CA, sous la direction de Ivan Cindrich et Sing H. Lee. SPIE, 1990. http://dx.doi.org/10.1117/12.17934.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
7

Grenon, Brian J., D. C. Defibaugh, Donna M. Sprout et C. J. Taft. « Manufacturing performance of the ALTA 3000 mask laser writer ». Dans 15th Annual BACUS Symposium on Photomask Technology and Management '95, sous la direction de Gilbert V. Shelden et James N. Wiley. SPIE, 1995. http://dx.doi.org/10.1117/12.228180.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
8

Xie, Yongjun, Zhenwu Lu, Fengyou Li et Zhicheng Weng. « Polar-coordinate laser writer : analysis of exposure dose distribution ». Dans Photonics Asia 2002, sous la direction de Zhicheng Weng, Jose M. Sasian et Yongtian Wang. SPIE, 2002. http://dx.doi.org/10.1117/12.464067.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
9

Baber, S. Charles. « Computer-generated holography using a high-resolution laser writer ». Dans OSA Annual Meeting. Washington, D.C. : Optica Publishing Group, 1988. http://dx.doi.org/10.1364/oam.1988.wv1.

Texte intégral
Résumé :
The Computer Imaging Branch of the Corporate Manufacturing Center at Texas Instruments has designed and built high-speed high-resolution laser writing systems since 1982. These systems have a range of effective pixel size from 10 down to 0.5 μm, with writing rates as high as 100 Mpixels/s. Although originally designed for circuit board and photomask application, these systems are well suited for the plotting of computer-generated holograms. Recently, an internal program was initiated to investigate the application of computer-generated holograms for the testing of diamond point turned aspheric optics. This presentation focuses on the description of our laser writers, the methods by which the holograms are computed from CO­DEV (TM) polynomial representations, and the results using the binary holograms for testing as-pheres.
Styles APA, Harvard, Vancouver, ISO, etc.
10

Xiong, Shaomin, Robert Smith, Chanh Nguyen, Youfeng Zhang et Yeoungchin Yoon. « Air Bearing Pushback in Heat Assisted Magnetic Recording ». Dans ASME 2019 28th Conference on Information Storage and Processing Systems. American Society of Mechanical Engineers, 2019. http://dx.doi.org/10.1115/isps2019-7503.

Texte intégral
Résumé :
Abstract The air bearing surface is critical to the spacing control in current hard disk drives (HDDs). Thermal protrusions, including thermal flying height control (TFC) and writer coil protrusion, drive the reader/writer elements closer to the magnetic media. The spacing control actuation efficiency depends on the air bearing push back response after the TFC or writer protrudes. In the next generation hard disk drive technology, heat assisted magnetic recording (HAMR), laser induced protrusions further complicate the spacing control. The laser induced protrusions, such as the localized NFT protrusion and a wider change of the crown and camber, have very different dimensions and transient characteristics than the traditional TFC and writer protrusion. The dimension of the NFT protrusion is relatively smaller, and the transient is much faster than the TFC protrusion. However, it is found that the NFT protrusion is large enough to generate an air bearing push back effect, which changes the read and write spacing when the laser is powered on. To accurately control spacing in HAMR, this push back effect has to be taken into account.
Styles APA, Harvard, Vancouver, ISO, etc.

Rapports d'organisations sur le sujet "Laser writer"

1

Guo, Junpeng, Karen Lynn McDaniel, Jeremy Andrew Palmer, Pin Yang, Michelle Lynn Griffith, Gregory Allen Vawter, Marc F. Harris, David Robert Tallant, Ting Shan Luk et George Robert Burns. Microfabrication with femtosecond laser processing : (A) laser ablation of ferrous alloys, (B) direct-write embedded optical waveguides and integrated optics in bulk glasses. Office of Scientific and Technical Information (OSTI), novembre 2004. http://dx.doi.org/10.2172/920737.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
2

Cheng, Peng, James V. Krogmeier, Mark R. Bell, Joshua Li et Guangwei Yang. Detection and Classification of Concrete Patches by Integrating GPR and Surface Imaging. Purdue University, 2021. http://dx.doi.org/10.5703/1288284317320.

Texte intégral
Résumé :
This research considers the detection, location, and classification of patches in concrete and asphalt-on-concrete pavements using data taken from ground penetrating radar (GPR) and the WayLink 3D Imaging System. In particular, the project seeks to develop a patching table for “inverted-T” patches. A number of deep neural net methods were investigated for patch detection from 3D elevation and image observation, but the success was inconclusive, partly because of a dearth of training data. Later, a method based on thresholding IRI values computed on a 12-foot window was used to localize pavement distress, particularly as seen by patch settling. This method was far more promising. In addition, algorithms were developed for segmentation of the GPR data and for classification of the ambient pavement and the locations and types of patches found in it. The results so far are promising but far from perfect, with a relatively high rate of false alarms. The two project parts were combined to produce a fused patching table. Several hundred miles of data was captured with the Waylink System to compare with a much more limited GPR dataset. The primary dataset was captured on I-74. A software application for MATLAB has been written to aid in automation of patch table creation.
Styles APA, Harvard, Vancouver, ISO, etc.
3

Cheng, Peng, James V. Krogmeier, Mark R. Bell, Joshua Li et Guangwei Yang. Detection and Classification of Concrete Patches by Integrating GPR and Surface Imaging. Purdue University, 2021. http://dx.doi.org/10.5703/1288284317320.

Texte intégral
Résumé :
This research considers the detection, location, and classification of patches in concrete and asphalt-on-concrete pavements using data taken from ground penetrating radar (GPR) and the WayLink 3D Imaging System. In particular, the project seeks to develop a patching table for “inverted-T” patches. A number of deep neural net methods were investigated for patch detection from 3D elevation and image observation, but the success was inconclusive, partly because of a dearth of training data. Later, a method based on thresholding IRI values computed on a 12-foot window was used to localize pavement distress, particularly as seen by patch settling. This method was far more promising. In addition, algorithms were developed for segmentation of the GPR data and for classification of the ambient pavement and the locations and types of patches found in it. The results so far are promising but far from perfect, with a relatively high rate of false alarms. The two project parts were combined to produce a fused patching table. Several hundred miles of data was captured with the Waylink System to compare with a much more limited GPR dataset. The primary dataset was captured on I-74. A software application for MATLAB has been written to aid in automation of patch table creation.
Styles APA, Harvard, Vancouver, ISO, etc.
4

Gledhill, Igle, Richard Goldstone, Sanya Samtani, Keyan Tomaselli et Klaus Beiter. Copyright Amendment Bill Workshop Proceedings Report. Academy of Science of South Africa (ASSAf), 2022. http://dx.doi.org/10.17159/assaf.2022/0078.

Texte intégral
Résumé :
The genesis of the Copyright Amendment Bill was in 2009, when the Department of Trade and Industry (DTI) initiated various studies and impact assessments. In July 2015, the DTI published a Draft Copyright Amendment Bill for public comment. The final 2017 version of the Bill was approved by Parliament in 2019 and it was sent to President Cyril Ramaphosa for action in terms of Section 79(1) of the Constitution. Section 79(1) states that “The President must either assent to and sign a Bill passed in terms of this Chapter or, if the President has reservations about the constitutionality of the Bill, refer it back to the National Assembly for reconsideration”. The President referred the Bill back to Parliament for review on 16 June 2020, on constitutionality issues. In response to the President’s reservations, Parliament’s Portfolio Committee on Trade and Industry has invited stakeholders and other interested parties to submit written submissions on certain sections of the Bill by no later than 9 July 2021. The current copyright law is outdated and does not address the digital environment. The Academy of Science of South Africa seeks to take into account the status of the copyright legislation and the anticipated effects of the amendment Bill on different issues and thereafter, provide recommendations to the President.
Styles APA, Harvard, Vancouver, ISO, etc.
Nous offrons des réductions sur tous les plans premium pour les auteurs dont les œuvres sont incluses dans des sélections littéraires thématiques. Contactez-nous pour obtenir un code promo unique!

Vers la bibliographie