Littérature scientifique sur le sujet « Irregular Design »

Créez une référence correcte selon les styles APA, MLA, Chicago, Harvard et plusieurs autres

Choisissez une source :

Consultez les listes thématiques d’articles de revues, de livres, de thèses, de rapports de conférences et d’autres sources académiques sur le sujet « Irregular Design ».

À côté de chaque source dans la liste de références il y a un bouton « Ajouter à la bibliographie ». Cliquez sur ce bouton, et nous générerons automatiquement la référence bibliographique pour la source choisie selon votre style de citation préféré : APA, MLA, Harvard, Vancouver, Chicago, etc.

Vous pouvez aussi télécharger le texte intégral de la publication scolaire au format pdf et consulter son résumé en ligne lorsque ces informations sont inclues dans les métadonnées.

Articles de revues sur le sujet "Irregular Design"

1

Schlueter, Arno, et Tobias Bonwetsch. « Design Rationalization of Irregular Cellular Structures ». International Journal of Architectural Computing 6, no 2 (juin 2008) : 197–211. http://dx.doi.org/10.1260/147807708785850104.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
2

Reck, Brian, et J. P. Morgan. « Optimal design in irregular BIBD settings ». Journal of Statistical Planning and Inference 129, no 1-2 (février 2005) : 59–84. http://dx.doi.org/10.1016/j.jspi.2004.06.039.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
3

Al-Ansari, Mohammed Salem, et Muhammad Shekaib Afzal. « Simplified Irregular Beam Analysis and Design ». Civil Engineering Journal 5, no 7 (21 juillet 2019) : 1577–89. http://dx.doi.org/10.28991/cej-2019-03091354.

Texte intégral
Résumé :
This paper presents simple method to estimate the strength design of reinforced concrete beam sections based on structural safety and reliability. Irregular beam shaped sections are commonly used nowadays in the construction industry. This study reveals the simplified method to analyze and design the different irregular shaped beam sections. In this study, the selected irregular beam shaped sections are divided mainly into three groups, beams with straight edges, beams with sloped edges and circular beams. Each group contains the most commonly used beam shaped sections in that category. Six beams sections (B-1 to B-6) are selected for group-1 whereas five beam sections (B-7 to B-11) and a circular beam section (B-12) are chosen for group 2 and 3 respectively. Flexural beam formulas for three groups of reinforced concrete beams are derived based on section geometry and ACI building code of design. This study also analyzed numerical examples for some of the sections in each group category using the proposed simplified method to determine the strength design of the irregular beams. The results obtained using simplified method for all of the three groups are compared with the finite element software (SAP v2000). The percentage difference of simplified method with the finite element software ranges within 5% to 10%. This makes the simplified method for irregular shaped beam sections quite promising.
Styles APA, Harvard, Vancouver, ISO, etc.
4

Al-Ansari, Mohammed Salem, et Muhammad Shekaib Afzal. « Structural analysis and design of irregular shaped reinforced concrete slabs using a simplified design method ». Journal of Structural Engineering & ; Applied Mechanics 3, no 4 (31 décembre 2020) : 276–88. http://dx.doi.org/10.31462/jseam.2020.04276288.

Texte intégral
Résumé :
This paper presents a simplified method to analyze and design the irregular reinforced concrete slabs based on structural safety and economy. The triangular, trapezoidal, and curved slab sections are selected in this study to be analyzed and designed using a simplified design method approach (SDM) as these sections are the most common type of irregular slab sections used in the construction industry. Flexural design formulas for triangular and curved slabs are derived based on the theoretical principles of plate and yield line theories and ACI building code of design constraints. Numerical examples are presented in this study to illustrate the method capability of designing the most commonly used irregular slabs sections. The complete design of four triangular slabs (TS-1 to TS-4) and four curved slabs (CS-1 to CS-4) is provided in this study. Besides, the required equivalent (triangular and rectangular) shaped sections are provided to deal with irregular trapezoidal slab section. The selected irregular slab sections (triangular and curved slab sections) are also analyzed and designed using the computer software (SAFE) and the results obtained are compared with the numerical solutions. The percentage difference of the simplified method with the finite element software (SAFE) ranges from 4% to 12%. The results obtained for all the selected irregular shaped slab sections indicates that the SDM is a good and quick approach to design irregular (triangular and curved) slab sections.
Styles APA, Harvard, Vancouver, ISO, etc.
5

Dhanuskar, Dr J. R., et Yogesh M. Jadhav. « Analysis and Design of an Irregular Steel Marine Structure ». International Journal for Research in Applied Science and Engineering Technology 11, no 2 (28 février 2023) : 520–25. http://dx.doi.org/10.22214/ijraset.2023.49054.

Texte intégral
Résumé :
Abstract: Due to requirement of unique architectural appearance of building, the regularity in plan, mass and stiffness may get disturbed and may lead to development of torsion in the structure. The two most significant aspects of new structures are form and function, which are growing more sophisticated as elements of equally sophisticated "systems" that we live in. Both the shape and the structural system must be sound in order for the construction to be both aesthetically pleasing and functionally successful. Nowadays, it is usual to see structures that are irregularly shaped or sculptural in nature. The irregular shape building differs from regular building in terms of shape, structure, reliability, economy and aesthetic appearance. The study focuses on design and load analysis of an irregular steel structure which is in the shape of a ship. The design and analysis is performed in STAAD PRO software.
Styles APA, Harvard, Vancouver, ISO, etc.
6

Clifton-Everest, Robert, Trevor L. McDonell, Manuel M. T. Chakravarty et Gabriele Keller. « Streaming irregular arrays ». ACM SIGPLAN Notices 52, no 10 (31 octobre 2017) : 174–85. http://dx.doi.org/10.1145/3156695.3122971.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
7

Visvizi, Anna, Colette Mazzucelli et Miltiadis Lytras. « Irregular migratory flows ». Journal of Science and Technology Policy Management 8, no 2 (3 juillet 2017) : 227–42. http://dx.doi.org/10.1108/jstpm-05-2017-0020.

Texte intégral
Résumé :
Purpose The purpose of this study is to navigate the challenges irregular migratory flows generate for cities and urban systems. The migration and refugee crises that challenged Europe in 2015-2016 revealed that the developed world cities and urban areas are largely unprepared to address challenges that irregular migratory flows generate. This paper queries the smart and resilient cities’ debates, respectively, to highlight that migration-related challenges and opportunities have not been explicitly addressed in those deliberations. This creates a disconnect between what these debates promise and what cities/urban systems increasingly need to address on a daily basis. Subsequently, a way of bridging that disconnect is proposed and its policy-making implications discussed. Design/methodology/approach To suggest ways of navigating irregular migration-inflicted challenges cities/urban areas face, a nexus between the smart cities and resilient cities’ debates is established. By placing advanced sophisticated information and communication technologies (ICTs) at the heart of the analysis, a novel dynamic ICTs’ enabled integrated framework for resilient urban systems is developed. The framework’s dynamics is defined by two hierarchically interconnected levers, i.e. that of ICTs and that of policy-design and policy-making. Drawing from qualitative analysis and process tracing, the cross-section of policy design and policy-making geared towards the most efficient and ethically sensitive use of sophisticated ICTs is queried. Subsequently, options available to cities/urban systems are discussed. Findings The ICTs’ enabled integrated framework for resilient urban systems integrates the effectiveness of migrants and refugees’ policy design and policy-making in human-centred thinking, planning and policy-design for resilient urban systems. It places resilient approaches in the spotlight of research and policy-making, naming them the most effective methods for promoting a humanistic smart cities and resilient urban systems vision. It highlights critical junctions that urban systems’ stakeholders must consider if the promise of emerging sophisticated ICTs is to be employed effectively for the entire society, including its most vulnerable members. Research limitations/implications First, when designing ICTs’ enabled integrated resilient urban systems, the key stakeholders involved in the policy-design and policy-making process, including local, national and regional authorities, must employ a holistic view to the urban systems seen through the lens of hard and soft concerns as well as considerations expressed by the receiving and incoming populations. Second, the third-sector representatives, including non-governmental organizations (NGOs) and other actors, need to be seen as peers in integrated humanistic networks, thereby contributing critical, unbiased knowledge flows to infrastructures, which promote fair and inclusive participation of migrants and refugees in local economies. Practical implications The ICTs’ enabled integrated framework for resilient urban systems promotes a humanistic smart cities’ and resilient urban systems’ vision. It suggests how to design and implement policies apt to meet the needs of both receiving and incoming populations along value chains specific to smart and resilient cities. It promotes emerging sophisticated ICTs as the subtle, yet key, enabler of data ecosystems and customized services capable of responding to critical societal needs of the receiving and the incoming populations. In addition, the framework suggests options, alternatives and strategies for urban systems’ stakeholders, including the authorities, businesses, NGOs, inhabitants and ICTs’ providers and vendors. Originality/value The value added of this paper is three-fold. At the conceptual level, by bringing together the smart cities and resilient cities debates, and incorporating sophisticated ICTs in the analysis, it makes a case for their usefulness for cities/urban areas in light of challenges these cities/urban areas confront each day. At the empirical level, this analysis maps the key challenges that cities and their stakeholders face in context of migratory flows and highlights their dual nature. At the policy-making level, this study makes a case for a sound set of policies and actions that boost effective use of ICTs beyond the smart technology hype.
Styles APA, Harvard, Vancouver, ISO, etc.
8

Son, Phan Le. « Irregular microphone array design for broadband beamforming ». Signal Processing 193 (avril 2022) : 108431. http://dx.doi.org/10.1016/j.sigpro.2021.108431.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
9

Roumy, A., S. Guemghar, G. Caire et S. Verdu. « Design Methods for Irregular Repeat–Accumulate Codes ». IEEE Transactions on Information Theory 50, no 8 (août 2004) : 1711–27. http://dx.doi.org/10.1109/tit.2004.831778.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
10

Antoniadis, Anestis, et Dinh Tuan Pham. « Wavelet regression for random or irregular design ». Computational Statistics & ; Data Analysis 28, no 4 (octobre 1998) : 353–69. http://dx.doi.org/10.1016/s0167-9473(98)90145-1.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.

Thèses sur le sujet "Irregular Design"

1

LUSTOSA, MAURO QUILES DE OLIVEIRA. « IRREGULAR REPEAT ACCUMULATE CODES : DESIGN AND EVALUATION ». PONTIFÍCIA UNIVERSIDADE CATÓLICA DO RIO DE JANEIRO, 2009. http://www.maxwell.vrac.puc-rio.br/Busca_etds.php?strSecao=resultado&nrSeq=32617@1.

Texte intégral
Résumé :
PONTIFÍCIA UNIVERSIDADE CATÓLICA DO RIO DE JANEIRO
CONSELHO NACIONAL DE DESENVOLVIMENTO CIENTÍFICO E TECNOLÓGICO
Os códigos IRA (Irregular Repeat-Accumulate) são uma classe de códigos criada com o objetivo de permitir codificação em tempo linear garantindo comunicação robusta a taxas próximas à capacidade do canal. Eles foram introduzidas por Jin, Khandekar and McEliece em 2000. O artigo no qual foram apresentados provou que os códigos IRA alcançavam a capacidade do canal de apagamento e mostravam desempenho cmparável ao dos códigos Turbo no canal AWGN (Additive White Gaussian Noise). Os desenvolvimentos teóricos por trás dos códigos IRA vieram da busca pelos primeiros códigos LDPC (Low Density Parity Check), ou códigos em grafos, que atingiriam a capacidade do canal AWGN. Os códigos LDPC - propostos originalmente por Robert Gallager em 1963 - se tornaram objeto de grande interesse nas últimas décadas após um longo período de ostracismo desde sua concepção, desenvolvendo seu potencial para codificação de canal em aplicações tão diversas quanto comunicações por satélite, redes sem fio e streaming via IP, bem como codificação distribuída de fonte. O objetivo desta dissertação é a avaliação dos códigos IRA e os efeitos de diferentes métodos de construção de grafos em seu desempenho. O uso das muitas variações do algoritmo PEG (Progressive Edge-Growth) foi testado em simulações no canal AWGN.
Irregular Repeat-Accumulate codes are motivated by the challenge of providing a class of codes that use linear-time encoding and decoding while communicating reliably at rates close to channel capacity. They were introduced by Hui Jin, Khandekar and McEliece in 2000, their article proves that IRA codes achieve channel capacity for the binary erasure channel and exhibit remarkably good performance on the AWGN channel. The theoretical developments supporting IRA codes stem from the efforts ar the development of capacity achieving Low-Density Parity-Check codes. LDPC codes were first proposed by Robert Gallager in 1963 and became the subject of intense research during the past decade after being dormant for a long period since its conception. Efforts by many researchers have developed its potential for channel coding in applications as diverse as satellite communications, wireless networks and streaming over IP, as well as studies on its usage in Distributed Source Coding. The goal of this dissertation is the evaluation of IRA codes and the effects of different graph construction methods in its performance. The use of the many variations of the Progressive Edge-Growth algorithm with IRA codes was tested in simulations on the AWGN channel.
Styles APA, Harvard, Vancouver, ISO, etc.
2

Das, Satrajit. « Seismic Design of Vertically Irregular Reinforced Concrete Structures ». NCSU, 2000. http://www.lib.ncsu.edu/theses/available/etd-20000820-165307.

Texte intégral
Résumé :

Seismic building codes, such as the Uniform Building Code (UBC) do not allow the equivalent lateral force (ELF) procedure to be used for structures with vertical irregularities. The UBC defines a structure to be irregular based on the ratio of magnitudes of either strength, stiffness, mass, setback or offset of one floor to that of an adjacent floor. The criteria defining the limits of irregularity are somewhat arbitrary, but are introduced in the code to provide unambiguous, enforceable provisions. The purpose of this study is to quantify the definition of irregular structures for four different vertical irregularities - stiffness, strength, mass and nonstructural masonry infills. A total of 87 building structures with interstory stiffness and strength ratios ranging from 0.09 to 1.89 and 0.27 to 1.07, respectively, and mass ratios of 1.0, 2.5, and 5.0 are considered for a detailed parametric study. The lateral force resisting systems (LFRS) considered are special moment resisting frames and shear walls. These LFRS's are designed based on the forces obtained from the equivalent lateral force procedure. An ELF) analysis. Finally, nonlinear dynamic analysis is performed in order to assess the seismic performance of these buildings. The results show that the restrictions on the applicability of the equivalent lateral force procedure are unnecessarily conservative for irregular structures. Most structures considered in this study, designed on the basis of the ELF approach, perform reasonably well. In some cases, however, there is an initiation of an undesirable collapse mechanism. It is recommended that capacity based criteria in the design phase be appropriately used in the vicinity of the irregularity in order to ensure desired performance and behavior.

Styles APA, Harvard, Vancouver, ISO, etc.
3

Rios, Erick E. (Erick Eladio) 1978. « Welding fixture design for irregular profile metal bellows ». Thesis, Massachusetts Institute of Technology, 2000. http://hdl.handle.net/1721.1/9049.

Texte intégral
Résumé :
Thesis (S.B.)--Massachusetts Institute of Technology, Dept. of Mechanical Engineering, 2000.
Includes bibliographical references (leaf 23).
A general design is presented that can be used to weld Metal bellows of any shape. The bellows are made of thin stainless diaphragms welded together. Currently there is no established method for welding non-circular bellows that is both low cost and reliable. This thesis addresses some of the issues with the current welding systems providing solutions to each in a integrated design. The new concepts can be implemented with minor modifications to a whole range of bellow sizes and shapes.
by Erick E. Rios.
S.B.
Styles APA, Harvard, Vancouver, ISO, etc.
4

Merzougui, T. « Design of digital controllers for irregular linear multivariable plants ». Thesis, University of Salford, 1995. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.308206.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
5

Amarnath, Avinash. « A Self-Configurable Architecture on an Irregular Reconfigurable Fabric ». PDXScholar, 2011. https://pdxscholar.library.pdx.edu/open_access_etds/634.

Texte intégral
Résumé :
Reconfigurable computing architectures combine the flexibility of software with the performance of custom hardware. Such architectures are of particular interest at the nanoscale level. We argue that a bottom-up self-assembled fabric of nodes will be easier and cheaper to manufacture, however, one has to make compromises with regards to the device regularity, homogeneity, and reliability. The goal of this thesis is to evaluate the performance and cost of a self-configurable computing architecture composed of simple reconfigurable nodes for unstructured and unknown fabrics. We built a software and hardware framework for this purpose. The framework enables creating an irregular network of compute nodes where each node can be configured as a simple 2-input, 4-bit logic gate. The compute nodes are organized hierarchically by sending a packet through a top anchor node that recruits compute nodes with a chemically-inspired algorithm. The nodes are then self-configured by means of a gate-level netlist describing any digital logic circuit. A topology-agnostic optimization algorithm inspired by simulated annealing is then initiated to self-optimize the circuit for latency. Latency comparisons between non-optimized, brute-force optimized and our optimization algorithm are made. We further implement the architecture in VHDL and evaluate hardware cost, area, and energy consumption. The simple on-chip topology-agnostic optimization algorithm we propose results in a significant (up to 50\%) performance improvement compared to the non-optimized circuits. Our findings are of particular interest for emerging nano and molecular-scale circuits.
Styles APA, Harvard, Vancouver, ISO, etc.
6

Xie, Huizhi. « Some contributions to latin hypercube design, irregular region smoothing and uncertainty quantification ». Diss., Georgia Institute of Technology, 2012. http://hdl.handle.net/1853/44770.

Texte intégral
Résumé :
In the first part of the thesis, we propose a new class of designs called multi-layer sliced Latin hypercube design (DSLHD) for running computer experiments. A general recursive strategy for constructing MLSLHD has been developed. Ordinary Latin hypercube designs and sliced Latin hypercube designs are special cases of MLSLHD with zero and one layer respectively. A special case of MLSLHD with two layers, doubly sliced Latin hypercube design, is studied in detail. The doubly sliced structure of DSLHD allows more flexible batch size than SLHD for collective evaluation of different computer models or batch sequential evaluation of a single computer model. Both finite-sample and asymptotical sampling properties of DSLHD are examined. Numerical experiments are provided to show the advantage of DSLHD over SLHD for both sequential evaluating a single computer model and collective evaluation of different computer models. Other applications of DSLHD include design for Gaussian process modeling with quantitative and qualitative factors, cross-validation, etc. Moreover, we also show the sliced structure, possibly combining with other criteria such as distance-based criteria, can be utilized to sequentially sample from a large spatial data set when we cannot include all the data points for modeling. A data center example is presented to illustrate the idea. The enhanced stochastic evolutionary algorithm is deployed to search for optimal design. In the second part of the thesis, we propose a new smoothing technique called completely-data-driven smoothing, intended for smoothing over irregular regions. The idea is to replace the penalty term in the smoothing splines by its estimate based on local least squares technique. A close form solution for our approach is derived. The implementation is very easy and computationally efficient. With some regularity assumptions on the input region and analytical assumptions on the true function, it can be shown that our estimator achieves the optimal convergence rate in general nonparametric regression. The algorithmic parameter that governs the trade-off between the fidelity to the data and the smoothness of the estimated function is chosen by generalized cross validation (GCV). The asymptotic optimality of GCV for choosing the algorithm parameter in our estimator is proved. Numerical experiments show that our method works well for both regular and irregular region smoothing. The third part of the thesis deals with uncertainty quantification in building energy assessment. In current practice, building simulation is routinely performed with best guesses of input parameters whose true value cannot be known exactly. These guesses affect the accuracy and reliability of the outcomes. There is an increasing need to perform uncertain analysis of those input parameters that are known to have a significant impact on the final outcome. In this part of the thesis, we focus on uncertainty quantification of two microclimate parameters: the local wind speed and the wind pressure coefficient. The idea is to compare the outcome of the standard model with that of a higher fidelity model. Statistical analysis is then conducted to build a connection between these two. The explicit form of statistical models can facilitate the improvement of the corresponding modules in the standard model.
Styles APA, Harvard, Vancouver, ISO, etc.
7

Bardak, Erinc Deniz. « Design And Performance Of Capacity Approaching Irregular Low-density Parity-check Codes ». Master's thesis, METU, 2009. http://etd.lib.metu.edu.tr/upload/12611084/index.pdf.

Texte intégral
Résumé :
In this thesis, design details of binary irregular Low-Density Parity-Check (LDPC) codes are investigated. We especially focus on the trade-off between the average variable node degree, wa, and the number of length-6 cycles of an irregular code. We observe that the performance of the irregular code improves with increasing wa up to a critical value, but deteriorates for larger wa because of the exponential increase in the number of length-6 cycles. We have designed an irregular code of length 16,000 bits with average variable node degree wa=3.8, that we call &lsquo
2/3/13&rsquo
since it has some variable nodes of degree 2 and 13 in addition to the majority of degree-3 nodes. The observed performance is found to be very close to that of the capacity approaching commercial codes. Time spent for decoding 50,000 codewords of length 1800 at Eb/No=1.6 dB for an irregular 2/3/13 code is measured to be 19% less than that of the regular (3, 6) code, mainly because of the smaller number of decoding failures.
Styles APA, Harvard, Vancouver, ISO, etc.
8

Wu, Ruizhe. « Performance-Driven Communication Architecture Design in Irregular, Overlaid and Hybrid Mesh Wireless NoC ». Thesis, University of Louisiana at Lafayette, 2014. http://pqdtopen.proquest.com/#viewpdf?dispub=3622964.

Texte intégral
Résumé :

With the scaling of silicon technology, multi-processor Systems-on-Chip (MPSoC) are moving towards many-core structures with distributed architecture where a number of processing cores with memory are interconnected by a high-speed on chip communication network to support advanced computing trends such as tera scale computing. Due to the stringent performance and power limitation, the state-of-the-art shared bus and point-to-point connections have been shown unable to supply nano scale MPSoCs (where hundreds or even thousands of cores are embedded) with both sufficient bandwidth and low latency. Network-on-Chips (NoCs) are emerging as an alternative communication platform for complex MPSoCs. In this work, we presents three novel WiNoC architectures based on UWB technology. We provide comprehensive designs, which includes Medium Access Control layer, Network layer, and modeling scheme. The implementation includes the lossless MAC, deadlock free routing algorithm, and unique simulator. Our work does not just include the pure WiNoC but also hybrid architecture. The results provides new architecture directions for wireless network on chip.

Styles APA, Harvard, Vancouver, ISO, etc.
9

Young, Kelly Christine. « An Investigation of the Fundamental Period of Vibration of Irregular Steel Structures ». The Ohio State University, 2011. http://rave.ohiolink.edu/etdc/view?acc_num=osu1316473829.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
10

Montoya, Coronado Luis Alberto. « A direct performance based seismic design method for irregular structures : applications to concrete structures ». Doctoral thesis, Universitat Politècnica de Catalunya, 2016. http://hdl.handle.net/10803/404337.

Texte intégral
Résumé :
El diseño de estructuras para satisfacer niveles prestacionales, o de desempeño específico ha ganado interés en la ingeniería sismo resistente. Actualmente, existen varias metodologías de diseño sísmico basadas en prestaciones, en las cuales se intenta tener en cuenta el comportamiento no-lineal en las fases de diseño, controlando el nivel de daño y la demanda de resistencia a rotaciones concretas. Sin embargo, la mayoría de los métodos tienen procesos iterativos, que dependen, en algunos casos, de la experiencia del proyectista. Por otro lado, muchos están basados en el concepto de sistemas equivalentes de un grado de libertad, el cual es adecuado sólo para estructuras con esquema regular. En esta tesis se desarrolla un método de diseño sísmico basado en prestaciones (o desempeño) para estructuras irregulares con control de daño. Este método se basa en la superposición de dos análisis espectrales elásticos, lo que hace que sea directo (no iterativo). Un punto fuerte del método es poder seleccionar los daños locales intencionalmente a través de rótulas plásticas, en el cuál el daño es permitido y el mecanismo deseado es asegurado. Se define un parámetro (α) para controlar la intensidad del daño en las rótulas plásticas y los daños no estructurales a través de desplazamientos y derivas de piso. Este coeficiente sirve, a la vez, para combinar las soluciones elásticas mediante superposición. De esta forma, se consigue estimar la variación de la respuesta no-lineal en función de dichos parámetros. Así, el valor adecuado de α para una prestación objetivo puede relacionarse fácilmente. Se desarrolla una serie de ejemplos y casos de estudios de diversos sistemas 2D y 3D sobre estructuras irregulares en planta y altura. Además, el efecto de los modos altos de vibración se hace evidente en el proceso de diseño. El método es validado a través de análisis no-lineales en el tiempo (time-history) y mediante modelos no-lineal estático (Pushover). Los resultados presentados son considerados como buena aproximación en la predicción de daños locales y demanda de ductilidades en las fases de diseño. Por otro lado, el método también fue utilizado como método de evaluación para un ejemplo numérico y un experimento pseudo-dinámico en un prototipo a escala real. En estos casos, se evidenció que, las actuales provisiones normativas para conseguir el criterio de capacidad de la columna fuerte–viga débil pueden no ser adecuadas o suficientes. El método propuesto permite estimar el coeficiente de sobre-resistencia a aplicar a las columnas de una forma más óptima, el cual puede ser diferente para diferentes pisos
Designing structures to achieve a specified performance state has gained importance on seismic design practice. Currently, several methodologies have been proposed in order to take into account for inelastic behaviour of the structure in design phases. In that sense, a performance limit state can be provided that controls damage and strength demand. However, most of these methods involve iterative process that depends, in some cases, on the experience of the designer. Otherwise, many are based on the concept of equivalent single degree of freedom system, which is, only adequate for regular structures. In this Thesis, a direct performance based seismic design methodology for irregular structure with damage control is proposed. This method is based on the superposition of two elastic spectral analyses. One strength of the method is the selection of the local distribution damage regions (by mean of plastic hinges), intentionally chosen by designer. The distribution of hinges defines the zones where damage is allowed and the desired failure mechanism in the design. A damage parameter (α) is defined to control the damage intensity in the plastic hinges and the non-structural damage through allowable displacement or drifts. This coefficient is also used for the superposition of the two elastic solutions. In this way. It is possible to estimate the evolution of the non-linear response as this parameter varies, the adequate value ofα for target performance can be easily selected. A series of case studies examples are developed on 2D and 3D irregular systems, both in plan and height. Moreover, the effects of higher modes of vibrations is highlighted on the design process, making possible to account for them in the final design. The method is validated through non-linear analyses, by means of incremental static analysis (pushover) and step-by-step time-history analysis. The results presented show good accuracy when predicting local damage, ductility and strength demand in design phases. Moreover, the methodology was used as an assessment method as well, applied to a numerical example and a pseudo-dynamic test on a full-scale prototype. It was demonstrated, in both cases, the importance of the effect of higher vibration modes. In these cases, it was evidenced that, the current provisions to achieve the “strong column–weak beam” capacity criterion may not be adequate in a general basis. The proposed method allows a more general way to obtain the overstrength factor for columns, which may be different in different stories.
Styles APA, Harvard, Vancouver, ISO, etc.

Livres sur le sujet "Irregular Design"

1

Asymmetric and Irregular Structures (1999 Istanbul, Turkey). Irregular structures. Sous la direction de Karadoğan F, Rutenberg A et European Association of Earthquake Engineering. Task Group 8. [Istanbul] : İstanbul Technical University, Faculty of Civil Engineering, 1999.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
2

Merzougui, T. Design of digital controllers for irregular linear multivatiable plants. Salford : University of Salford, 1995.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
3

Lavan, Oren, et Mario De Stefano, dir. Seismic Behaviour and Design of Irregular and Complex Civil Structures. Dordrecht : Springer Netherlands, 2013. http://dx.doi.org/10.1007/978-94-007-5377-8.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
4

Mario, De Stefano, et SpringerLink (Online service), dir. Seismic Behaviour and Design of Irregular and Complex Civil Structures. Dordrecht : Springer Netherlands, 2013.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
5

Malone, R. Terry. The analysis of irregular shaped structures : Diaphragms and shear walls. New York : McGraw-Hill, 2012.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
6

Tzenov, Ludmil. Seismic design of irregular structural systems = : Düzensiz yapı sistemlerinin deprem tasarımı. Maslak, İstanbul : Turkish Earthquake Foundation, 1999.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
7

Bento, Rita, Mario De Stefano, Dietlinde Köber et Zbigniew Zembaty, dir. Seismic Behaviour and Design of Irregular and Complex Civil Structures IV. Cham : Springer International Publishing, 2022. http://dx.doi.org/10.1007/978-3-030-83221-6.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
8

Zembaty, Zbigniew, et Mario De Stefano, dir. Seismic Behaviour and Design of Irregular and Complex Civil Structures II. Cham : Springer International Publishing, 2016. http://dx.doi.org/10.1007/978-3-319-14246-3.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
9

Köber, Dietlinde, Mario De Stefano et Zbigniew Zembaty, dir. Seismic Behaviour and Design of Irregular and Complex Civil Structures III. Cham : Springer International Publishing, 2020. http://dx.doi.org/10.1007/978-3-030-33532-8.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
10

Tzenov, Ludmil. Seismic resistant design of irregular structures : Generalised method for determination of design seismic loading = Düzensiz yapıların deprem yüklerine göre hesabı : deprem yüklerinin belirlenmesi için genelleştirilmiş metod. Maslak, İstanbul : Turkish Earthquake Foundation, 2001.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.

Chapitres de livres sur le sujet "Irregular Design"

1

Holleman, Patrick. « Irregular Levels ». Dans Reverse Design, 201–18. Boca Raton : Taylor & Francis, 2018. : CRC Press, 2018. http://dx.doi.org/10.1201/9780429450556-9.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
2

Smith, David G. E. « Analysis of highly irregular industrial structures ». Dans European Seismic Design Practice, 383–94. London : Routledge, 2022. http://dx.doi.org/10.1201/9780203756492-59.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
3

Köber, Dietlinde, et Dan Zamfirescu. « Plan Irregular Structures : Simplified Approach ». Dans Seismic Behaviour and Design of Irregular and Complex Civil Structures, 155–72. Dordrecht : Springer Netherlands, 2012. http://dx.doi.org/10.1007/978-94-007-5377-8_11.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
4

Ho, Chat Yin. « Totally Irregular Collineation Groups and Finite Desarguesian Planes ». Dans Coding Theory and Design Theory, 127–31. New York, NY : Springer New York, 1990. http://dx.doi.org/10.1007/978-1-4615-6654-0_10.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
5

Kyrkos, Miltiadis T., et Stavros A. Anagnostopoulos. « Improved Earthquake-Resistant Design of Irregular Steel Buildings ». Dans Seismic Behaviour and Design of Irregular and Complex Civil Structures, 253–68. Dordrecht : Springer Netherlands, 2012. http://dx.doi.org/10.1007/978-94-007-5377-8_17.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
6

Bento, Rita, et João Azevedo. « Probabilistic assessment of q factors for irregular structures ». Dans Seismic Design Practice into the Next Century, 435–42. London : Routledge, 2022. http://dx.doi.org/10.1201/9780203740026-60.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
7

Bento, Rita, et João Azevedo. « Probabilistic assessment of q factors for irregular structures ». Dans Seismic Design Practice into the Next Century, 435–42. London : Routledge, 2022. http://dx.doi.org/10.1201/9780203740026-60.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
8

Piker, Daniel, et Richard Maddock. « Continuous Robotic Spatial 3D Printing of Topologically Irregular Space Frames ». Dans Impact : Design With All Senses, 502–16. Cham : Springer International Publishing, 2019. http://dx.doi.org/10.1007/978-3-030-29829-6_39.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
9

Richard, Julien, Sanda Koboevic et Robert Tremblay. « Seismic Response of Irregular Industrial Steel Buildings ». Dans Seismic Behaviour and Design of Irregular and Complex Civil Structures, 73–85. Dordrecht : Springer Netherlands, 2012. http://dx.doi.org/10.1007/978-94-007-5377-8_6.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
10

Capozzi, Vittorio, Gennaro Magliulo et Roberto Ramasco. « Design of a Plan Irregular RC Frame Building by Direct Displacement-Based Design Method ». Dans Seismic Behaviour and Design of Irregular and Complex Civil Structures, 269–82. Dordrecht : Springer Netherlands, 2012. http://dx.doi.org/10.1007/978-94-007-5377-8_18.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.

Actes de conférences sur le sujet "Irregular Design"

1

Ni, Shuyan, Tuofeng Lei, Naiping Cheng, Xin Song, Aidi Zhang et LingFeng Cheng. « Irregular SCMA Codebook Design ». Dans 2021 IEEE 21st International Conference on Communication Technology (ICCT). IEEE, 2021. http://dx.doi.org/10.1109/icct52962.2021.9658013.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
2

Bolton, John F. « Irregular Surface Simulation Using Spline Fitting ». Dans 1985 International Lens Design Conference, sous la direction de Duncan T. Moore et William H. Taylor. SPIE, 1986. http://dx.doi.org/10.1117/12.949231.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
3

Trifonov, Peter. « Design of structured irregular LDPC codes ». Dans 2008 IEEE Region 8 International Conference on Computational Technologies in Electrical and Electronics Engineering (SIBIRCON). IEEE, 2008. http://dx.doi.org/10.1109/sibircon.2008.4602580.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
4

Song-Nam Hong et Dong-Joon Shin. « Design of irregular concatenated zigzag codes ». Dans Proceedings. International Symposium on Information Theory, 2005. ISIT 2005. IEEE, 2005. http://dx.doi.org/10.1109/isit.2005.1523565.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
5

Martins, T. C., et M. S. G. Tsuzuki. « Solving Irregular Rotational Knapsack Problems ». Dans Seventh International Conference on Intelligent Systems Design and Applications (ISDA 2007). IEEE, 2007. http://dx.doi.org/10.1109/isda.2007.4389691.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
6

Martins, T. C., et M. S. G. Tsuzuki. « Solving Irregular Rotational Knapsack Problems ». Dans Seventh International Conference on Intelligent Systems Design and Applications (ISDA 2007). IEEE, 2007. http://dx.doi.org/10.1109/isda.2007.57.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
7

Moraveji, Reza, et Hamid Sarbazi-Azad. « Direction-based routing methodology for irregular NoCs ». Dans 2008 International SoC Design Conference (ISOCC). IEEE, 2008. http://dx.doi.org/10.1109/socdc.2008.4815628.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
8

Bolotin, Evgeny, Israel Cidon, Ran Ginosar et Avinoam Kolodny. « Routing Table Minimization for Irregular Mesh NoCs ». Dans Design, Automation & Test in Europe Conference. IEEE, 2007. http://dx.doi.org/10.1109/date.2007.364414.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
9

Zhang, Lina, et Jinhui Yu. « Image Mosaics with Irregular Tiling ». Dans 2011 12th International Conference on Computer-Aided Design and Computer Graphics (CAD/Graphics). IEEE, 2011. http://dx.doi.org/10.1109/cad/graphics.2011.44.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
10

Bokharaei, V. Samadi, A. Shamaei, H. Sarbaziazad et M. Abbaspour. « A new routing algorithm for irregular mesh NoCs ». Dans 2008 International SoC Design Conference (ISOCC). IEEE, 2008. http://dx.doi.org/10.1109/socdc.2008.4815622.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.

Rapports d'organisations sur le sujet "Irregular Design"

1

Heckl, Karsten S. Operational Design and Irregular Warfare. Fort Belvoir, VA : Defense Technical Information Center, octobre 2009. http://dx.doi.org/10.21236/ada513963.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
2

DETERMINATION OF THE BUCKLING LOADS OF IRREGULARLY SHAPED PLATES USING A NEW DESIGN APPROACH. The Hong Kong Institute of Steel Construction, mars 2019. http://dx.doi.org/10.18057/ijasc.2019.15.1.9.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
Nous offrons des réductions sur tous les plans premium pour les auteurs dont les œuvres sont incluses dans des sélections littéraires thématiques. Contactez-nous pour obtenir un code promo unique!

Vers la bibliographie