Littérature scientifique sur le sujet « Integrated circuits »

Créez une référence correcte selon les styles APA, MLA, Chicago, Harvard et plusieurs autres

Choisissez une source :

Consultez les listes thématiques d’articles de revues, de livres, de thèses, de rapports de conférences et d’autres sources académiques sur le sujet « Integrated circuits ».

À côté de chaque source dans la liste de références il y a un bouton « Ajouter à la bibliographie ». Cliquez sur ce bouton, et nous générerons automatiquement la référence bibliographique pour la source choisie selon votre style de citation préféré : APA, MLA, Harvard, Vancouver, Chicago, etc.

Vous pouvez aussi télécharger le texte intégral de la publication scolaire au format pdf et consulter son résumé en ligne lorsque ces informations sont inclues dans les métadonnées.

Articles de revues sur le sujet "Integrated circuits"

1

Wu, Jian, Yi-an Liu et Tingting Luo. « Research on Talents Training Mode for integrated circuit major under the Background of the Science-education and Industry-education Integration ». SHS Web of Conferences 171 (2023) : 03028. http://dx.doi.org/10.1051/shsconf/202317103028.

Texte intégral
Résumé :
With the rapid development of information technology, the requirements for integrated circuits, which are the key elements of information technology, are becoming higher and higher. However, the gap of integrated circuit talents is still large. The national and social development has an extremely urgent demand for integrated circuit talents. To meet the national needs, UESTC integrates ideological and political elements, reconstructs the curriculum teaching matrix, creates a challenge system step by step, and adheres to multiple synergies to build a “cross-integration, system integration, and whole-process” talents training mode of Science-education and Industry-education integration in integrated circuits.
Styles APA, Harvard, Vancouver, ISO, etc.
2

Shepherd, Paul, Dillon Kaiser, Michael Glover, Sonia Perez, A. Matt Francis et H. Alan Mantooth. « Integrated Protection Circuits for an NMOS Silicon Carbide Gate Driver Integrated Circuit ». Additional Conferences (Device Packaging, HiTEC, HiTEN, and CICMT) 2014, HITEC (1 janvier 2014) : 000218–23. http://dx.doi.org/10.4071/hitec-wp14.

Texte intégral
Résumé :
Recent work has been done to build a Silicon Carbide (SiC) gate driver IC for use with a 1,200V SiC power MOSFET. Protection circuits form an important part of the complete gate driver/power device system. Under-voltage lockout (UVLO) protection disables the gate driver when power supplies are insufficient to turn the power device fully on. Desaturation detection provides protection to the power device by recognizing over-current conditions and disabling the gate driver for a set duration. The protection circuits described in this paper are integrated with a novel SiC gate-driver architecture utilizing discrete 20 V and 40 V power supplies. Two separate UVLO circuits monitor these power supplies while being powered by the 20 V supply. The desaturation detection circuit ensures that the power device is in its safe operating area. The desaturation detection circuit is designed to work with a 20A SiC MOSFET in less than 500ns, while avoiding false triggering on leading-edge spikes. Bench test results of the two UVLOs and desaturation detection circuits were captured and are compared to simulated results.
Styles APA, Harvard, Vancouver, ISO, etc.
3

Jackson, Keit, et JeffreyA Niehaus. « 4752729 Test circuit for VSLI integrated circuits ». Microelectronics Reliability 29, no 2 (janvier 1989) : 291. http://dx.doi.org/10.1016/0026-2714(89)90600-8.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
4

Li, Zihan. « Application of Integrated Circuits in Cardiac Pacemakers ». Highlights in Science, Engineering and Technology 62 (27 juillet 2023) : 84–89. http://dx.doi.org/10.54097/hset.v62i.10428.

Texte intégral
Résumé :
This article briefly describes the application of integrated circuits in the medical field, such as wearable and implantable medical devices. The article introduces the development process of integrated circuits used in cardiac pacemakers, explaining how it evolved from bipolar junction transistors integrated circuits in the past to today's complementary metal oxide semiconductor integrated circuits. The basic components of the pacemaker are described from a system level, including the signal amplifier, pulse generator, battery management system, and analog-to-digital converter. This allows for a clear presentation of the working process of the pacemaker. Furthermore, the article explains how analog integrated circuits and digital integrated circuits can be used together to achieve the goal of low power consumption of cardiac pacemakers at a circuit level, with reference to some cutting-edge scientific and technological achievements. The necessity and advantages of integrated circuits in medical applications are demonstrated, and the future development of integrated circuits in related aspects is forecasted based on the current development situation.
Styles APA, Harvard, Vancouver, ISO, etc.
5

M., Kalmuratov, et Dauletmuratova R. « INTEGRATED CIRCUITS AND THEIR APPLICATIONS IN ELECTRONICS ». American Journal of Applied Science and Technology 4, no 4 (1 avril 2024) : 24–27. http://dx.doi.org/10.37547/ajast/volume04issue04-05.

Texte intégral
Résumé :
The article deals with the integrated circuits and their applications in electronics. Integrated circuits (ICs) have revolutionized the field of electronics by enabling the integration of multiple components onto a single chip. This miniaturization has led to significant advancements in various electronic devices and systems. ICs offer numerous benefits, including compact size, energy efficiency, reliability, high speed, cost-effectiveness, flexibility, and scalability. The ability to combine different functions on a single chip has made ICs indispensable in a wide range of applications, from consumer electronics to industrial automation. The integration of digital signal processing, memory management, communication interfaces, and other functions on a single IC has enabled the development of sophisticated and high-performance devices.
Styles APA, Harvard, Vancouver, ISO, etc.
6

Moldovan, Emilia, Nazih Khaddaj Mallat et Serioja Ovidiu Tatu. « MHMIC Six-port Interferometer for W-band Transceivers : Design and Characterization ». International Journal of Electrical and Computer Engineering (IJECE) 9, no 4 (1 août 2019) : 2703. http://dx.doi.org/10.11591/ijece.v9i4.pp2703-2714.

Texte intégral
Résumé :
The study has presented an extensive analysis of an integrated millimeter wave six-port interferometer, operating over a 10 GHz band, from 80 to 90 GHz. It has covered both semi-unlicensed point-to-point links (81-86 GHz), and imaging sensor system frequencies (above 85 GHz). An in-house process is used to fabricate miniaturized hybrid millimeter wave integrated circuits on a very thin ceramic substrate. Two-port S-parameter measurements are performed on a minimum number of circuits integrated on the same die, exploiting the circuit’s physical symmetry and chosen to collect enough data for full-port characterization. Based on these measurements on an integrated prototype, a six-port circuit computer model implemented and advanced system simulations performed for circuit analysis. Interferometer performances evaluated using several methods: analysis of harmonic balance, qi points’, homodyne quadrature demodulation, and error vector modulation (EVM). The analysis showed that this circuit can directly perform, without any calibration, the demodulation of various PSK and QAM signals over the 10 GHz band, with very good results.
Styles APA, Harvard, Vancouver, ISO, etc.
7

Guang, Yang, Bin Yu et Huang Hai. « Design of a High Performance CMOS Bandgap Voltage Reference ». Advanced Materials Research 981 (juillet 2014) : 90–93. http://dx.doi.org/10.4028/www.scientific.net/amr.981.90.

Texte intégral
Résumé :
Bandgap voltage reference, to provide a temperature and power supply insensitive output voltage, is a very important module in the analog integrated circuits and mixed-signal integrated circuits. In this paper, a high performance CMOS bandgap with low-power consumption has been designed. It can get the PTAT (Proportional to absolute temperature) current, and then get the reference voltage. Based on 0.35μm CMOS process, using HSPICE 2008 software for circuit simulation, the results showed that , when the temperature changes from -40 to 80 °C, the proposed circuit’s reference voltage achieve to 1.2V, temperature coefficient is 3.09ppm/°C. Adopt a series of measures, like ESD protection circuit, in layout design. The ultimately design through the DRC and LVS verification, and the final layout size is 700μm * 560μm.
Styles APA, Harvard, Vancouver, ISO, etc.
8

Lim, Taek-Kyu, Kunal Sandip Garud, Jae-Hyeong Seo, Moo-Yeon Lee et Dong-Yeon Lee. « Experimental Study on Heating Performances of Integrated Battery and HVAC System with Serial and Parallel Circuits for Electric Vehicle ». Symmetry 13, no 1 (7 janvier 2021) : 93. http://dx.doi.org/10.3390/sym13010093.

Texte intégral
Résumé :
The objective of the present study is to conduct experiments for investigating heating performances of integrated system with serial and parallel circuits for battery and heating ventilation and air conditioning system (HVAC) of electric vehicles under various operating conditions. In addition, the artificial neural network (ANN) model is proposed to accurately predict the heating performances of integrated system with serial and parallel circuits for battery and HVAC. A test bench of integrated system with serial and parallel circuits has been developed for establishing the trade-off between battery heating and HVAC heating. The heating performances namely, battery out temperature, battery temperature rise rate, battery heating capacity, HVAC heating capacity and total heating capacity are evaluated experimentally for the integrated system with serial and parallel circuits. The behavior of various heating performances is evaluated under influence of flow rate and heater power. Battery out temperature reaches 40 °C within 10 min with rise rate of 2.17 °C/min for the integrated system with serial circuit and that within 20 min with rise rate of 1.22 °C/min for the integrated system with parallel circuit. Integrated system with serial circuit shows higher HVAC heating capacity than integrated system with parallel circuit which are 5726.33 W and 3869.15 W, respectively. ANN model with back-propagation algorithm, Levenberg-Marquardt training variant, Tan-sigmoidal transfer function and 20 hidden neurons presents the accurate prediction of heating performances of the integrated system with serial and parallel circuits for battery and HVAC.
Styles APA, Harvard, Vancouver, ISO, etc.
9

Lim, Taek-Kyu, Kunal Sandip Garud, Jae-Hyeong Seo, Moo-Yeon Lee et Dong-Yeon Lee. « Experimental Study on Heating Performances of Integrated Battery and HVAC System with Serial and Parallel Circuits for Electric Vehicle ». Symmetry 13, no 1 (7 janvier 2021) : 93. http://dx.doi.org/10.3390/sym13010093.

Texte intégral
Résumé :
The objective of the present study is to conduct experiments for investigating heating performances of integrated system with serial and parallel circuits for battery and heating ventilation and air conditioning system (HVAC) of electric vehicles under various operating conditions. In addition, the artificial neural network (ANN) model is proposed to accurately predict the heating performances of integrated system with serial and parallel circuits for battery and HVAC. A test bench of integrated system with serial and parallel circuits has been developed for establishing the trade-off between battery heating and HVAC heating. The heating performances namely, battery out temperature, battery temperature rise rate, battery heating capacity, HVAC heating capacity and total heating capacity are evaluated experimentally for the integrated system with serial and parallel circuits. The behavior of various heating performances is evaluated under influence of flow rate and heater power. Battery out temperature reaches 40 °C within 10 min with rise rate of 2.17 °C/min for the integrated system with serial circuit and that within 20 min with rise rate of 1.22 °C/min for the integrated system with parallel circuit. Integrated system with serial circuit shows higher HVAC heating capacity than integrated system with parallel circuit which are 5726.33 W and 3869.15 W, respectively. ANN model with back-propagation algorithm, Levenberg-Marquardt training variant, Tan-sigmoidal transfer function and 20 hidden neurons presents the accurate prediction of heating performances of the integrated system with serial and parallel circuits for battery and HVAC.
Styles APA, Harvard, Vancouver, ISO, etc.
10

Koo, Jae-Mo, Sungjun Im, Linan Jiang et Kenneth E. Goodson. « Integrated Microchannel Cooling for Three-Dimensional Electronic Circuit Architectures ». Journal of Heat Transfer 127, no 1 (1 janvier 2005) : 49–58. http://dx.doi.org/10.1115/1.1839582.

Texte intégral
Résumé :
The semiconductor community is developing three-dimensional circuits that integrate logic, memory, optoelectronic and radio-frequency devices, and microelectromechanical systems. These three-dimensional (3D) circuits pose important challenges for thermal management due to the increasing heat load per unit surface area. This paper theoretically studies 3D circuit cooling by means of an integrated microchannel network. Predictions are based on thermal models solving one-dimensional conservation equations for boiling convection along microchannels, and are consistent with past data obtained from straight channels. The model is combined within a thermal resistance network to predict temperature distributions in logic and memory. The calculations indicate that a layer of integrated microchannel cooling can remove heat densities up to 135W/cm2 within a 3D architecture with a maximum circuit temperature of 85°C. The cooling strategy described in this paper will enable 3D circuits to include greater numbers of active levels while exposing external surface area for functional signal transmission.
Styles APA, Harvard, Vancouver, ISO, etc.

Thèses sur le sujet "Integrated circuits"

1

Загулов, Станіслав Русланович. « Flexible integrated circuits ». Thesis, Київський національний університет технологій та дизайну, 2020. https://er.knutd.edu.ua/handle/123456789/15297.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
2

Pettazzi, Federico. « Integrated soliton circuits ». Besançon, 2008. http://www.theses.fr/2008BESA2001.

Texte intégral
Résumé :
Dans ce travail de thèse nous abordons le développement de circuits optiques intégrés tri-dimensionnels (3-D), la technique pour induire ces guides est basée sur les solitons spatiaux photorefractifs. Les démonstrations expérimentales sont réalisées dans le niobate de lithum (LiNbO3) ce qui permet de bénéficier de l'excellente qualité optique de ce matériau associée à une forte réponse photoréfractive, Dans un premier chapitre, les principaus problèmes liés aux interconnections optiques sont identifiés et une solution exploitant les solitons spatiaux photorefractifs est proposée. Dans un deuxième chapitre, les principales propriétés du LiNbO3 sont tout d'abord rappelées. Ensuite, la formation de solitons brillants photorefractifs est démontrée tant théoriquement qu'expérimentalement. Par la suite, le piégeage d'un faisceau dans le proche infra-rouge via la génération de deuxième harmonique est étudié en condition d'accord de phase et également loin de l'accord de phase. Les analyses expérimentale et numérique montrent que dans le premier cas la combinaison des processus quadratique et photoréfractif provoque l'induction d'une structure guidante dont le caractère multimode peut être contrôlé, Dans le cas d'un fort désaccord de phase nous avons démontré que le piégeage de la lumière est également effectif malgré la faiblesse de l'efficacité de conversion. Finalement, l'impact du dopage erbium d'échantillon de LiNbO3 est étudié, le but ultime étant de parvenir à démontrer l'amplification optique dans des guides photoinduits. Les résultats montrent que le LiNbO3 dopé erbium permet hl. Formation de guides photoinduits par effet photorefractif
In the present thesis the development of three dimensional integrated optical circuits exploiting the technique of photorefractive bright spatial solitons is addressed. The considered host material is Lithium Niobate (LiNbO3) that benefits from a well developed technological standard and possesses a large photorefractive response. Ln the first part, main problems related to optical interconnections are identified, and a solution based on photorefractive bright spatial solitons is proposed. Ln a second Chapter, after a brief review of the material properties, the formation of photorefractive bright solitons is demonstrated both tlleoretically and experimentally. Subsequently, the occurrence of photorefractive self-focusing via second hannonic generation is investigated in conditions near and far from perfect phase matching. Experimetal and numerical analysis shows that, in the case near phase matching, a complexe interaction between nonlinear quadratic process and photorefractivity causes multimode propagation inside self induced waveguide. Proper initial conditions can however lead to stable singlemode operation with high second harmonic conversion efficiency. For strongly mismatched condition we demonstrate that self-focusing effect can occur in the near infrared spectrum due to the weak second harmonic generated signal. Finally, the potentiality of erbium doped LiNbO3 has been tested by performing material characterization and self-focusing experiments. Results show that erbium doped crystals are suitable for formation of self-induced waveguides. Realisation of optical ciruits performing optical amplification and lasing in self-induced waveguides can be envisioned
Styles APA, Harvard, Vancouver, ISO, etc.
3

Gustard, N. C. « Optimizes switched-capacitor filter circuits for integrated circuit realization ». Thesis, University of Essex, 1995. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.294667.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
4

Kapur, Kishen Narain. « Mechanical and electrical characterization of IC leads during fatigue cycling ». Diss., Online access via UMI:, 2009.

Trouver le texte intégral
Résumé :
Thesis (Ph. D.)--State University of New York at Binghamton, Thomas J. Watson School of Engineering and Applied Science, Department of Electrical and Computer Engineering, 2009.
Includes bibliographical references.
Styles APA, Harvard, Vancouver, ISO, etc.
5

Lee, Kyung Tek. « Crosstalk fault test generation and hierarchical timing verification in VLSI digital circuits / ». Digital version accessible at:, 1999. http://wwwlib.umi.com/cr/utexas/main.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
6

Fayed, Ayman Adel. « Adaptive techniques for analog and mixed signal integrated circuits ». Connect to this title online, 2004. http://rave.ohiolink.edu/etdc/view?acc%5Fnum=osu1097519730.

Texte intégral
Résumé :
Thesis (Ph. D.)--Ohio State University, 2004.
Title from first page of PDF file. Document formatted into pages; contains xix, 232 p.; also includes graphics (some col.). Includes bibliographical references (p. 222-230).
Styles APA, Harvard, Vancouver, ISO, etc.
7

Bakir, Muhannad S. « Sea of Leads electrical-optical polymer pillar chip I/O interconnections for gigascale integration ». Diss., Available online, Georgia Institute of Technology, 2004:, 2003. http://etd.gatech.edu/theses/available/etd-04082004-180010/unrestricted/bakir%5Fmuhannad%5Fs%5F200312%5Fphd.pdf.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
8

Qazi, Masood. « Circuit design for embedded memory in low-power integrated circuits ». Thesis, Massachusetts Institute of Technology, 2012. http://hdl.handle.net/1721.1/75645.

Texte intégral
Résumé :
Thesis (Ph. D.)--Massachusetts Institute of Technology, Dept. of Electrical Engineering and Computer Science, 2012.
Cataloged from PDF version of thesis.
Includes bibliographical references (p. 141-152).
This thesis explores the challenges for integrating embedded static random access memory (SRAM) and non-volatile memory-based on ferroelectric capacitor technology-into lowpower integrated circuits. First considered is the impact of process variation in deep-submicron technologies on SRAM, which must exhibit higher density and performance at increased levels of integration with every new semiconductor generation. Techniques to speed up the statistical analysis of physical memory designs by a factor of 100 to 10,000 relative to the conventional Monte Carlo Method are developed. The proposed methods build upon the Importance Sampling simulation algorithm and efficiently explore the sample space of transistor parameter fluctuation. Process variation in SRAM at low-voltage is further investigated experimentally with a 512kb 8T SRAM test chip in 45nm SOI CMOS technology. For active operation, an AC coupled sense amplifier and regenerative global bitline scheme are designed to operate at the limit of on current and off current separation on a single-ended SRAM bitline. The SRAM operates from 1.2 V down to 0.57 V with access times from 400ps to 3.4ns. For standby power, a data retention voltage sensor predicts the mismatch-limited minimum supply voltage without corrupting the contents of the memory. The leakage power of SRAM forces the chip designer to seek non-volatile memory in applications such as portable electronics that retain significant quantities of data over long durations. In this scenario, the energy cost of accessing data must be minimized. This thesis presents a ferroelectric random access memory (FRAM) prototype that addresses the challenges of sensing diminishingly small charge under conditions favorable to low access energy with a time-to-digital sensing scheme. The 1 Mb IT1C FRAM fabricated in 130 nm CMOS operates from 1.5 V to 1.0 V with corresponding access energy from 19.2 pJ to 9.8 pJ per bit. Finally, the computational state of sequential elements interspersed in CMOS logic, also restricts the ability to power gate. To enable simple and fast turn-on, ferroelectric capacitors are integrated into the design of a standard cell register, whose non-volatile operation is made compatible with the digital design flow. A test-case circuit containing ferroelectric registers exhibits non-volatile operation and consumes less than 1.3 pJ per bit of state information and less than 10 clock cycles to save or restore with no minimum standby power requirement in-between active periods.
by Masood Qazi.
Ph.D.
Styles APA, Harvard, Vancouver, ISO, etc.
9

Paroski, Andrew John. « Deform a new approach for redistributing placements / ». Diss., Online access via UMI:, 2006.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
10

Agnihotri, Ameya Ramesh. « Combinatorial optimization techniques for VLSI placement ». Diss., Online access via UMI:, 2007.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.

Livres sur le sujet "Integrated circuits"

1

Components, Philips. Integrated circuits. London : Philips Components Ltd, 1990.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
2

Components, Philips. Integrated circuits. London : Philips Components Ltd, 1991.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
3

Components, Philips. Integrated circuits. London : Philips Components Ltd, 1990.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
4

Components, Philips. Integrated circuits. London : Philips Components Ltd, 1990.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
5

Semiconductors, Philips. Integrated circuits. Eindhoven : Philips Semiconductors, 1991.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
6

Semiconductors, Philips. Integrated circuits. Eindhoven : Philips Semiconductors, 1991.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
7

Components, Philips. Integrated circuits. London : Philips Components Ltd, 1990.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
8

Semiconductors, Philips. Integrated circuits. Eindhoven : Philips Semiconductors, 1992.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
9

Components, Philips. Integrated circuits. London : Philips Components Ltd, 1991.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
10

Components, Philips. Integrated circuits. London : Philips Components Ltd, 1990.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.

Chapitres de livres sur le sujet "Integrated circuits"

1

Sangwine, S. J. « Integrated circuits ». Dans Electronic Components and Technology, 27–48. Boston, MA : Springer US, 1994. http://dx.doi.org/10.1007/978-1-4899-6934-7_3.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
2

Sparkes, J. J. « Integrated circuits ». Dans Semiconductor Devices, 173–88. Boston, MA : Springer US, 1994. http://dx.doi.org/10.1007/978-1-4899-7128-9_5.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
3

Warnes, Lionel. « Integrated circuits ». Dans Electronic and Electrical Engineering, 190–96. London : Macmillan Education UK, 1998. http://dx.doi.org/10.1007/978-1-349-15052-6_10.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
4

Craig, Edwin C. « Integrated Circuits ». Dans Electronics via Waveform Analysis, 237–78. New York, NY : Springer New York, 1993. http://dx.doi.org/10.1007/978-1-4612-4338-0_12.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
5

Warnes, Lionel. « Integrated circuits ». Dans Electronic and Electrical Engineering, 193–200. London : Macmillan Education UK, 2003. http://dx.doi.org/10.1007/978-0-230-21633-4_10.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
6

Ginsberg, Gerald L. « Integrated Circuits ». Dans Electronic Equipment Packaging Technology, 29–43. Boston, MA : Springer US, 1992. http://dx.doi.org/10.1007/978-1-4615-3542-3_2.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
7

Borel, J. « Integrated Circuits ». Dans Silicon, 363–74. Berlin, Heidelberg : Springer Berlin Heidelberg, 2004. http://dx.doi.org/10.1007/978-3-662-09897-4_17.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
8

Barnes, John R. « Integrated Circuits ». Dans Robust Electronic Design Reference Book, 424–513. New York, NY : Springer US, 2004. http://dx.doi.org/10.1007/1-4020-7830-7_20.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
9

Snepscheut, Jan L. A. « Integrated Circuits ». Dans What Computing Is All About, 75–99. New York, NY : Springer New York, 1993. http://dx.doi.org/10.1007/978-1-4612-2710-6_5.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
10

Winnacker, Albrecht. « Integrated Circuits ». Dans The Physics Behind Semiconductor Technology, 221–37. Cham : Springer International Publishing, 2022. http://dx.doi.org/10.1007/978-3-031-10314-8_14.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.

Actes de conférences sur le sujet "Integrated circuits"

1

Brown, J. J., J. T. Gardner et S. R. Forrest. « Optically powered monolithically integrated logic circuits ». Dans Integrated Photonics Research. Washington, D.C. : Optica Publishing Group, 1991. http://dx.doi.org/10.1364/ipr.1991.tuc5.

Texte intégral
Résumé :
Optical powering of optoelectron integrated circuits (OEICs) significantly improves their performance in high density photonic systems as compared to conventional designs employing electrical powering of circuits.1 Here optical powering replaces the dc bias lines with integrated photovoltaic (PV) cells in each pixel. The PV cell is illuminated with an external light source (e.g. laser) and converts this optical power beam into electrical power which subsequently drives the circuitry within that pixel. The total absence of the parasitic capacitances and inductances in the optical beam reduces inter-pixel cross-talk as compared with conventional dc bias lines. This leads to significantly increased bandwidths in the optically powered case. In addition, optical powering reduces interconnection complexity associated with routing bias lines to each pixel in a high-density, two dimensional array. An optically powered interconnection system has already been demonstrated in hybrid form.2,3 In this present work, we discuss an integrated optoelectronic logic circuit in which the power and control are both provided using optical sources.
Styles APA, Harvard, Vancouver, ISO, etc.
2

Chandrasekhar, S., J. C. Campbell, A. G. Dentai, C. H. Joyner, G. J. Qua, A. H. Gnauck et M. D. Feuer. « An Integrated InP/InGaAs Heterojunction Biploar Photoreceiver ». Dans Integrated and Guided Wave Optics. Washington, D.C. : Optica Publishing Group, 1989. http://dx.doi.org/10.1364/igwo.1989.tucc3.

Texte intégral
Résumé :
The rapid development of lightwave communications has created a demand for high-performance receivers for a variety of systems applications. For many of these applications, e. g. local area networks, cost is a primary consideration. It is expected that integration of the receiver components will eventually yield significant savings with little or no loss in performance. To date, most of the integrated receiver circuits that have been reported have combined p-i-n photodiodes with FET amplifier circuits1. Alternatives to the p-i-n/FET approach are a p-i-n or a phototransistor coupled to a bipolar amplifier circuit2. One motivation for the use of a phototransistor/bipolar configuration for integrated receiver circuits is better materials compatibility than p-i-n/FET circuits. A typical p-i-n photodiode structure consists of a lightly doped epitaxial absorbing layer on a heavily doped substrate. The FET amplifier circuit, on the other hand, requires a heavily doped channel on a semi-insulating substrate. In contrast, the phototransistor is functionally just a p-i-n photodiode integrated with a bipolar transistor in the common collector configuration and therefore can be fabricated from the same epitaxial layers used for a bipolar amplifier. Recently, Wang et al. have reported an all-bipolar photoreceiver for λ ≈ 0.85 μm consisting of a GaAs/AlGaAs heterojunction phototransistor (HPT) and GaAs/AlGaAs heterojunction bipolar (HBT) amplifier3. In this paper, we report, for the first time, an InP/InGaAs integrated receiver circuit which utilizes an HPT as the photodetector and a bipolar amplifier circuit. This circuit operates in the wavelength regions near 1.3 μm and 1.5 μm.
Styles APA, Harvard, Vancouver, ISO, etc.
3

Rodwell, M. J. W., K. J. Weingarten et D. M. Bloom. « Picosecond Sampling of Integrated Circuits ». Dans Picosecond Electronics and Optoelectronics. Washington, D.C. : Optica Publishing Group, 1987. http://dx.doi.org/10.1364/peo.1987.wa2.

Texte intégral
Résumé :
GaAs microwave integrated circuits are now being developed for operation at frequencies as high as 40 GHz, while GaAs digital IC’s have been demonstrated with ring-oscillator propagation delays of 5-10 ps, with gate delays of 50-100 ps for larger-scale circuits. Digital IC’s are currently tested only by indirect technique (multistage propagation delay or cycle times), while microwave circuits are tested only by external scattering parameter measurement; if the circuit does not perform to expectations, the cause is not easily identified. Electrooptic sampling, providing picosecond-resolution measurements of the voltages within the IC, permits more detailed circuit evaluation.
Styles APA, Harvard, Vancouver, ISO, etc.
4

Grebel, H., et W. Zhong. « Holographic integrated optical circuits ». Dans OSA Annual Meeting. Washington, D.C. : Optica Publishing Group, 1992. http://dx.doi.org/10.1364/oam.1992.me3.

Texte intégral
Résumé :
Optical interconnects and other passive integrated optical circuits require a selective distribution of light among several addresses. Bragg waveguides are optical guides in which the transverse confinement is maintained by a grating rather than a step or gradual change in the refractive index. A two dimensional holographic optical circuit is achieved by surface grooves. The grooves serve as confining structures as well as selective filters. In that respect, the circuit as a whole participates in the light channeling process similarly to holograms. Polymeric devices offer flexibility in synthesis and fabrication. If the concept of the distributed holographic element prevails, one can envision stamping holographic optical circuits in plastics. We have fabricated and analyzed polymeric, Bragg confined waveguides. The core of the waveguides was made by embedding conductive crystallites in a polymeric film (artificial dielectric layer). Patterning was made by photoablation of that layer, which also proved useful in terms of the spatial resolution needed. Other patterning techniques will be discussed too.
Styles APA, Harvard, Vancouver, ISO, etc.
5

« Integrated Circuits ». Dans 2006 International Semiconductor Conference. IEEE, 2006. http://dx.doi.org/10.1109/smicnd.2006.284031.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
6

« Integrated Circuits ». Dans 2019 International Semiconductor Conference (CAS). IEEE, 2019. http://dx.doi.org/10.1109/smicnd.2019.8923890.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
7

Богданов, Даниил Сергеевич, et Светлана Анатольевна Микаева. « INTEGRATED CIRCUITS ». Dans Высокие технологии и инновации в науке : сборник избранных статей Международной научной конференции (Санкт-Петербург, Май 2022). Crossref, 2022. http://dx.doi.org/10.37539/vt197.2022.42.90.008.

Texte intégral
Résumé :
Авторами описаны интегральные микросхемы. Представлены микросхемы НИИ «Пульсар». Современный вид микросхемы, описаны виды, устройства микросхем и корпусов микросхем. The authors describe integrated circuits. Microcircuits of the Pulsar Research Institute are presented. The modern type of microcircuit, the types, devices of microcircuits and microcircuit housings are described.
Styles APA, Harvard, Vancouver, ISO, etc.
8

« Integrated Circuits ». Dans 2023 International Semiconductor Conference (CAS). IEEE, 2023. http://dx.doi.org/10.1109/cas59036.2023.10303675.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
9

Keyes, Edward, et Jason Abt. « An Advanced Integrated Circuit Analysis System ». Dans ISTFA 2006. ASM International, 2006. http://dx.doi.org/10.31399/asm.cp.istfa2006p0398.

Texte intégral
Résumé :
Abstract Historically, the extraction of circuitry from an integrated circuit was normally within the abilities of the average FA laboratory and could be accomplished with little more than an optical microscope and film camera. Dramatic increases in the level of integration and number of metal interconnect levels coupled with shrinking feature sizes have rendered these techniques obsolete. This paper describes techniques and methods for the fast, semi-automated extraction of detailed circuit schematics from modern, nanometer scale integrated circuits.
Styles APA, Harvard, Vancouver, ISO, etc.
10

Valdmanis, J. A. « Progress in electrooptic sampling of highspeed devices and integrated circuits ». Dans OSA Annual Meeting. Washington, D.C. : Optica Publishing Group, 1988. http://dx.doi.org/10.1364/oam.1988.tue2.

Texte intégral
Résumé :
The rapidly increasing speed and complexity of current and future electronic circuitry has in many cases exceeded the capabilities of conventional all-electronic testing techniques. The need for high-speed noninvasive testing of integrated circuits is on us. By turning to optically based techniques, we can exploit the availability of picosecond and subpicosecond laser pulses to make electrical measurements. This paper reviews the latest refinements and applications of the electrooptic sampling technique, which utilizes optical pulses directly as sampling gates in electrooptic materials. It is currently the fastest (<300-fs resolution, >1-THz bandwidth) measurement technique available for electronic circuits and does not require vacuum for operation. Electrooptic sampling can be applied to a wide variety of circuits and devices and, when configured as an optical probe, can noninvasively interrogate internal nodes of complex integrated circuits. We discuss many measurement applications ranging from the basic physics of subpicosecond electrical pulse generation and the high-speed properties of high T c superconductors to integrated circuit probing on GaAs, silicon, and ceramic substrates.
Styles APA, Harvard, Vancouver, ISO, etc.

Rapports d'organisations sur le sujet "Integrated circuits"

1

Gunn, Cary. Nanophotonic Integrated Circuits. Fort Belvoir, VA : Defense Technical Information Center, mai 2003. http://dx.doi.org/10.21236/ada423912.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
2

Ramaswamy, Ramu V. Optoelectronic Integrated Circuits. Fort Belvoir, VA : Defense Technical Information Center, mars 1998. http://dx.doi.org/10.21236/ada340630.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
3

Fetterman, Harold. Nonlinear Optoelectronic Integrated Circuits. Fort Belvoir, VA : Defense Technical Information Center, novembre 1998. http://dx.doi.org/10.21236/ada386985.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
4

Mittra, Raj. Millimeter-Wave Integrated Circuits. Fort Belvoir, VA : Defense Technical Information Center, octobre 1985. http://dx.doi.org/10.21236/ada161444.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
5

Heimlich, Michael, Karu Esselle et L. Matekovits. 2D Electrically Tuneable EBG Integrated Circuits. Fort Belvoir, VA : Defense Technical Information Center, avril 2014. http://dx.doi.org/10.21236/ada605325.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
6

McColl, Malcolm. Voltage-Tunable Microwave Monolithic Integrated Circuits. Fort Belvoir, VA : Defense Technical Information Center, mars 1988. http://dx.doi.org/10.21236/ada193003.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
7

Kurdahi, F. J., et A. C. Parker. Area Estimation of VLSI Integrated Circuits. Fort Belvoir, VA : Defense Technical Information Center, juillet 1985. http://dx.doi.org/10.21236/ada160335.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
8

Lynn, D. K., et J. B. McCormick. Progress in radiation immune thermionic integrated circuits. Office of Scientific and Technical Information (OSTI), août 1985. http://dx.doi.org/10.2172/6345437.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
9

Martin, Alain J., Mika Nystroem et Catherine G. Wong. Design Tools for Integrated Asynchronous Electronic Circuits. Fort Belvoir, VA : Defense Technical Information Center, juin 2003. http://dx.doi.org/10.21236/ada417138.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
10

Shakouri, Ali, Bin Liu, Patrick Abraham et John E. Bowers. 3D Photonic Integrated Circuits for WDM Applications. Fort Belvoir, VA : Defense Technical Information Center, janvier 1998. http://dx.doi.org/10.21236/ada461796.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
Nous offrons des réductions sur tous les plans premium pour les auteurs dont les œuvres sont incluses dans des sélections littéraires thématiques. Contactez-nous pour obtenir un code promo unique!

Vers la bibliographie