Thèses sur le sujet « Functional ATPG »

Pour voir les autres types de publications sur ce sujet consultez le lien suivant : Functional ATPG.

Créez une référence correcte selon les styles APA, MLA, Chicago, Harvard et plusieurs autres

Choisissez une source :

Consultez les 50 meilleures thèses pour votre recherche sur le sujet « Functional ATPG ».

À côté de chaque source dans la liste de références il y a un bouton « Ajouter à la bibliographie ». Cliquez sur ce bouton, et nous générerons automatiquement la référence bibliographique pour la source choisie selon votre style de citation préféré : APA, MLA, Harvard, Vancouver, Chicago, etc.

Vous pouvez aussi télécharger le texte intégral de la publication scolaire au format pdf et consulter son résumé en ligne lorsque ces informations sont inclues dans les métadonnées.

Parcourez les thèses sur diverses disciplines et organisez correctement votre bibliographie.

1

Gent, Kelson Andrew. « High Quality Test Generation at the Register Transfer Level ». Diss., Virginia Tech, 2016. http://hdl.handle.net/10919/73544.

Texte intégral
Résumé :
Integrated circuits, from general purpose microprocessors to application specific designs (ASICs), have become ubiquitous in modern technology. As our applications have become more complex, so too have the circuits used to drive them. Moore's law predicts that the number of transistors on a chip doubles every 18-24 months. This explosion in circuit size has also lead to significant growth in testing effort required to verify the design. In order to cope with the required effort, the testing problem must be approached from several different design levels. In particular, exploiting the Register Transfer Level for test generation allows for the use of relational information unavailable at the structural level. This dissertation demonstrates several novel methods for generating tests applicable for both structural and functional tests. These testing methods allow for significantly faster test generation for functional tests as well as providing high levels of fault coverage during structural test, typically outperforming previous state of the art methods. First, a semi-formal method for functional verification is presented. The approach utilizes a SMT-based bounded model checker in combination with an ant colony optimization based search engine to generate tests with high branch coverage. Additionally, the method is utilized to identify unreachable code paths within the RTL. Compared to previous methods, the experimental results show increased levels of coverage and improved performance. Then, an ant colony optimization algorithm is used to generate high quality tests for fault coverage. By utilizing co-simulation at the RTL and gate level, tests are generated for both levels simultaneously. This method is shown to reach previously unseen levels of fault coverage with significantly lower computational effort. Additionally, the engine was also shown to be effective for behavioral level test generation. Next, an abstraction method for functional test generation is presented utilizing program slicing and data mining. The abstraction allows us to generate high quality test vectors that navigate extremely narrow paths in the state space. The method reaches previously unseen levels of coverage and is able to justify very difficult to reach control states within the circuit. Then, a new method of fault grading test vectors is introduced based on the concept of operator coverage. Operator coverage measures the behavioral coverage in each synthesizable statement in the RTL by creating a set of coverage points for each arithmetic and logical operator. The metric shows a strong relationship with fault coverage for coverage forecasting and vector comparison. Additionally, it provides significant reductions in computation time compared to other vector grading methods. Finally, the prior metric is utilized for creating a framework of automatic test pattern generation for defect coverage at the RTL. This framework provides the unique ability to automatically generate high quality test vectors for functional and defect level testing at the RTL without the need for synthesis. In summary, We present a set of tools for the analysis and test of circuits at the RTL. By leveraging information available at HDL, we can generate tests to exercise particular properties that are extremely difficult to extract at the gate level.
Ph. D.
Styles APA, Harvard, Vancouver, ISO, etc.
2

Qiang, Qiang. « FORMAL a sequential ATPG-based bounded model checking system for VLSI circuits / ». online version, 2006. http://rave.ohiolink.edu/etdc/view?acc%5Fnum=case1144614543.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
3

Touati, Aymen. « Amélioration des solutions de test fonctionnel et structurel des circuits intégrés ». Thesis, Montpellier, 2016. http://www.theses.fr/2016MONTT308/document.

Texte intégral
Résumé :
Compte tenu de la complexité des circuits intégrés de nos jours et des nœuds technologiques qui ne cessent pas de diminuer, être au rendez-vous avec les demandes de design, test et fabrication des dispositifs de haute qualité est devenu un des plus grands défis. Avoir des circuits intégrés de plus en plus performants devrait être atteint tout en respectant les contraintes de basse consommation, de niveaux de fiabilité demandés, de taux de défauts acceptables ainsi que du bas coût. Avec ce fascinant progrès de l’industrie des semi-conducteurs, les processus de fabrication sont devenus de plus en plus difficile à contrôler, ce qui rend les puces électroniques de nos jours plus disposés aux défauts physiques. Le test était et restera l’unique solution pour lutter contre l’occurrence des défauts de fabrication ; même il est devenu un facteur prédominant dans le coût totale de fabrication des circuits intégrés. Même si des solutions de test, qui existent déjà, étaient capables de satisfaire ce fameux compromis coût-qualité ces dernières années, il arrive d’observer encore des mécanismes de défauts malheureusement incontrôlables. Certains sont intrinsèquement reliés au processus de fabrication en lui-même. D’autres reviennent sans doute aux pratiques de test et surtout quand on analyse le taux de défauts détectés et le niveau de fiabilité atteint.L’objectif principal de cette thèse est d’implémenter des stratégies de test robustes et efficaces qui répondent aux lacunes des techniques de tests classiques et qui proposent des modèles de fautes plus réalistes et répondent au mieux aux attentes des fournisseurs. Dans l’objectif d’améliorer l’efficacité de test en termes de coût, capacité de couverture de faute, nous présentons divers contributions significatives qui touchent différents domaines entre-autres le test sur le terrain, les tests à hautes fréquences sous contraintes de puissance et finalement le test des chaines de scan.La partie majeure de cette thèse était consacrée pour le développement de nouvelles techniques de tests fonctionnels ciblant les systèmes à processeurs.Les méthodologies appliquées couvrent les problèmes de test sur terrain aussi bien que les problèmes de test de fabrication. Dans le premier cas, la techniques adoptée consiste à fusionner et compacter un ensemble initial de programmes fonctionnels afin d’atteindre une couverture de faute satisfaisante tout en respectant les contraintes du test sur terrain (temps de test réduit et ressource mémoire limitée). Cependant dans le deuxième cas, comme nous avons assez d’informations sur la structure du design, nous proposons un nouveau protocole de test qui va exploiter l’architecture de test existante. Dans ce contexte, nous avons validé et confirmé la relation complémentaire qui joint le test fonctionnel avec le test structurel. D’autres part, cette prometteuse approche assure un test qui respecte les limites de la consommation fonctionnelle et donc une fiabilité meilleure.La dernière contribution de cette thèse accorde toute l’attention à l’amélioration de test de la structure DFT « Design For Test » la plus utilisée qui est la chaîne de scan. Nous présentons dans cette contribution une approche de test qui cible les défauts physiques au sein de la cellule en elle-même.Cette approche représente une couverture de défauts meilleure et une longueur de test plus réduit si nous la comparons avec l’ATPG classique ciblant les mêmes défauts « Intra-cell defect ATPG ».Comme résultat majeur de cette efficace solution de test, nous avons observé une amélioration de 7.22% de couverture de défaut accompagné d’une réduction de 33.5% du temps de test en comparaison avec la couverture et le temps du test atteints par le « Cell-awer ATPG »
In light of the aggressive scaling and increasing complexity of digital circuits, meeting the demands for designing, testing and fabricating high quality devices is extremely challenging.Higher performance of integrated circuits needs to be achieved while respecting the constraints of low power consumption, required reliability levels, acceptable defect rates and low cost. With these advances in the SC industry, the manufacturing process are becoming more and more difficult to control, making chips more prone to defects.Test was and still is the unique solution to cover manufacturing defects; it is becoming a dominant factor in overall manufacturing cost.Even if existing test solutions were able to satisfy the cost-reliability trade-off in the last decade, there are still uncontrolled failure mechanisms. Some of them are intrinsically related to the manufacturing process and some others belong to the test practices especially when we consider the amount of detected defects and achieved reliability.The main goal of this thesis is to implement robust and effective test strategies to complement the existing test techniques and cope with the issues of test practices and fault models. With the objective to further improve the test efficiency in terms of cost and fault coverage capability, we present significant contributions in the diverse areas of in-field test, power-aware at-speed test and finally scan-chain testing.A big part of this thesis was devoted to develop new functional test techniques for processor-based systems. The applied methodologies cover both in-field and end-of manufacturing test issues. In the farmer, the implemented test technique is based on merging and compacting an initial functional program set in order to achieve higher fault coverage while reducing the test time and the memory occupation. However in the latter, since we already have the structure information of the design, we propose to develop a new test scheme by exploiting the existing scan chain. In this case we validate the complementary relationship between functional and structural testing while avoiding over as well under-testing issues.The last contribution of this thesis deals with the test improvement of the most used DFT structure that is the scan chain. We present in this contribution an intra-cell aware testing approach showing higher intra-cell defect coverage and lower test length when compared to conventional cell-aware ATPG. As major results of this effective test solution, we show that an intra-cell defect coverage increase of up to 7.22% and test time decrease of up to 33.5 % can be achieved in comparison with cell-aware ATPG
Styles APA, Harvard, Vancouver, ISO, etc.
4

Guntzel, Jose Luis Almada. « Functional timing analysis of VLSI circuits containing complex gates ». reponame:Biblioteca Digital de Teses e Dissertações da UFRGS, 2000. http://hdl.handle.net/10183/1883.

Texte intégral
Résumé :
Os recentes avanços experimentados pela tecnologia CMOS tem permitido a fabricação de transistores em dimensões submicrônicas, possibilitando a integração de dezenas de milhões de dispositivos numa única pastilha de silício, os quais podem ser usados na implementação de sistemas eletrônicos muito complexos. Este grande aumento na complexidade dos projetos fez surgir uma demanda por ferramentas de verificação eficientes e sobretudo que incorporassem modelos físicos e computacionais mais adequados. A verificação de timing objetiva determinar se as restrições temporais impostas ao projeto podem ou não ser satisfeitas quando de sua fabricação. Ela pode ser levada a cabo por meio de simulação ou por análise de timing. Apesar da simulação oferecer estimativas mais precisas, ela apresenta a desvantagem de ser dependente de estímulos. Assim, para se assegurar que a situação crítica é considerada, é necessário simularem-se todas as possibilidades de padrões de entrada. Obviamente, isto não é factível para os projetos atuais, dada a alta complexidade que os mesmos apresentam. Para contornar este problema, os projetistas devem lançar mão da análise de timing. A análise de timing é uma abordagem independente de vetor de entrada que modela cada bloco combinacional do circuito como um grafo acíclico direto, o qual é utilizado para estimar o atraso do circuito. As primeiras ferramentas de análise de timing utilizavam apenas a topologia do circuito para estimar o atraso, sendo assim referenciadas como analisadores de timing topológicos. Entretanto, tal aproximação pode resultar em estimativas demasiadamente pessimistas, uma vez que os caminhos mais longos do grafo podem não ser capazes de propagar transições, i.e., podem ser falsos. A análise de timing funcional, por sua vez, considera não apenas a topologia do circuito, mas também as relações temporais e funcionais entre seus elementos. As ferramentas de análise de timing funcional podem diferir por três aspectos: o conjunto de condições necessárias para se declarar um caminho como sensibilizável (i.e., o chamado critério de sensibilização), o número de caminhos simultaneamente tratados e o método usado para determinar se as condições de sensibilização são solúveis ou não. Atualmente, as duas classes de soluções mais eficientes testam simultaneamente a sensibilização de conjuntos inteiros de caminhos: uma baseia-se em técnicas de geração automática de padrões de teste (ATPG) enquanto que a outra transforma o problema de análise de timing em um problema de solvabilidade (SAT). Apesar da análise de timing ter sido exaustivamente estudada nos últimos quinze anos, alguns tópicos específicos não têm recebido a devida atenção. Um tal tópico é a aplicabilidade dos algoritmos de análise de timing funcional para circuitos contendo portas complexas. Este constitui o objeto básico desta tese de doutorado. Além deste objetivo, e como condição sine qua non para o desenvolvimento do trabalho, é apresentado um estudo sistemático e detalhado sobre análise de timing funcional.
The recent advances in CMOS technology have allowed for the fabrication of transistors with submicronic dimensions, making possible the integration of tens of millions devices in a single chip that can be used to build very complex electronic systems. Such increase in complexity of designs has originated a need for more efficient verification tools that could incorporate more appropriate physical and computational models. Timing verification targets at determining whether the timing constraints imposed to the design may be satisfied or not. It can be performed by using circuit simulation or by timing analysis. Although simulation tends to furnish the most accurate estimates, it presents the drawback of being stimuli dependent. Hence, in order to ensure that the critical situation is taken into account, one must exercise all possible input patterns. Obviously, this is not possible to accomplish due to the high complexity of current designs. To circumvent this problem, designers must rely on timing analysis. Timing analysis is an input-independent verification approach that models each combinational block of a circuit as a direct acyclic graph, which is used to estimate the critical delay. First timing analysis tools used only the circuit topology information to estimate circuit delay, thus being referred to as topological timing analyzers. However, such method may result in too pessimistic delay estimates, since the longest paths in the graph may not be able to propagate a transition, that is, may be false. Functional timing analysis, in turn, considers not only circuit topology, but also the temporal and functional relations between circuit elements. Functional timing analysis tools may differ by three aspects: the set of sensitization conditions necessary to declare a path as sensitizable (i.e., the so-called path sensitization criterion), the number of paths simultaneously handled and the method used to determine whether sensitization conditions are satisfiable or not. Currently, the two most efficient approaches test the sensitizability of entire sets of paths at a time: one is based on automatic test pattern generation (ATPG) techniques and the other translates the timing analysis problem into a satisfiability (SAT) problem. Although timing analysis has been exhaustively studied in the last fifteen years, some specific topics have not received the required attention yet. One such topic is the applicability of functional timing analysis to circuits containing complex gates. This is the basic concern of this thesis. In addition, and as a necessary step to settle the scenario, a detailed and systematic study on functional timing analysis is also presented.
Styles APA, Harvard, Vancouver, ISO, etc.
5

Karunaratne, Maddumage Don Gamini. « An intelligent function level backward state justification search for ATPG ». Diss., The University of Arizona, 1989. http://hdl.handle.net/10150/184921.

Texte intégral
Résumé :
This dissertation describes an innovative approach to the state justification portion of the sequential circuit automatic test pattern generation (ATPG) process. Given the absence of a stored fault an ATPG controller invokes some combinational circuit test generation procedure, such as the D-algorithm, to identify a circuit state (goal state) and input vectors that will sensitize a selected fault. The state justification phase then finds a transfer sequence to the goal from the present state. A forward fault propogation search can be successfully guided through state space from the present state but the forward justification search is less efficient and the failure rate is high. The backward function level search invokes inverse RTL level primitives and exploits easy movement of data vectors in structured VLSI circuits. Examples illustrated are in AHPL. This search is equally applicable to an RTL level subset of VHDL. Combinational logic units are treated as functions and the circuit states are partitioned into control states and data states. The search proceeds backwards over the control state space starting from the goal state node and data states are transformed according to the control flow. Vectorized data paths in VLSI circuits and search guiding heuristics which favor convenient inverse functions keep the number of search nodes low. Partial covers, conceptually similar to singular covers in D-algorithm, model the inverse functions of combinational logic units. The search successfully terminates when a child state node logically matches the present state and the present state values can satisfy all the constraints encountered along the search path.
Styles APA, Harvard, Vancouver, ISO, etc.
6

Bélanger, Danny. « Heterologous functional interactions of P2X ATP receptors ». Thesis, McGill University, 2004. http://digitool.Library.McGill.CA:80/R/?func=dbin-jump-full&object_id=81596.

Texte intégral
Résumé :
Part I. In this work we show that P2X3 currents are acutely modulated by the GPCRs mGluR5 and P2Y2, and by the neurotrophin TrkA receptor, expressed in nociceptors, in the recombinant Xenopus oocyte system. The intracellular C-terminal domain of P2X 3 plays an important role in its functional coupling to TrkA. Preliminary studies suggest a role for PKC in the P2X3-TrkA cross-talk, but other routes may also contribute. Part II. Neurogenic and pharmacological stimulation of vascular smooth muscle P2X1 elicits a contractile response that we found was potentiated by serotonin acting through 5HT2A. We also found in Xenopus oocytes that P2X 1 currents in the desensitized state are potentiated by M1 ACh receptors and by phorbol ester stimulation of PKC. Part III. We have shown in Boue-Grabot et al. (2003) that there was an intracellular negative cross-talk and physical interaction between P2X2 and 5HT3A receptors. We also found a functional interaction between P2X2 and GABAA alpha2beta 3 receptor subtypes in HEK293 mammalian cells and in Xenopus oocytes; and we confirmed the findings of Sokolova et al. , (2001) in primary cultures of DRG neurons. (Abstract shortened by UMI.)
Styles APA, Harvard, Vancouver, ISO, etc.
7

Lê, Khanh-Tuoc. « Functional and biochemical characterization of central ATP-gated P2x channels ». Thesis, McGill University, 1999. http://digitool.Library.McGill.CA:80/R/?func=dbin-jump-full&object_id=36032.

Texte intégral
Résumé :
Fast purinergic neurotransmission has recently been shown to be mediated through ionotropic P2X receptors activated by extracellular adenosine 5'-triphosphate (ATP). P2X protein mapping within central nervous system (CNS), P2X channel subunit composition pertaining to relevant native receptor phenotypes, and species-specific differences between mammalian P2X orthologs remain to be investigated.
The first manuscript (Le et al., 1998a) reported the regional, cellular, and subcellular localization of P2X4 gene product within adult rat brain and spinal cord structures. P2X4 receptors were shown to be widely expressed on the postsynaptic side throughout the CNS.
The second manuscript (Le et al., 1998b) documented a novel P2X receptor phenotype resulting from the heteropolymerization between major central P2X4 and P2X6 subunits. P2X 4+6 heteromultimeric channel phenotypes were characterized by distinct time-dependent protein expression levels and novel pharmacological profiles compared to P2X4 homo-oligomers.
The third manuscript (Le et al., 1999) was undertaken based upon similar reasoning as well as experimental strategies as the P2X 4+6 study (Le et al., 1998b). The existence of heteromultimeric P2X1+5 receptors were screened with functional as well as biochemical assays demonstrating that this oligomeric complex gave rise to hybrid properties between homopolymeric P2X1 and P2X 5 subunits. Reciprocal co-purifications between interacting P2X 1 and P2X5 subunits were also demonstrated in this study.
The fourth manuscript (Le et al., 1997) reported the molecular cloning of the human ortholog (hP2X5R) of rP2X 5 subunit, which is being the most rare transcript among all reported rat P2X cDNAs to date. hP2X5R subunit was found to be a 422 amino acid-long protein and having 62% homology to rP2X5 receptors.
In an effort to contribute to a better assessment of the physiological roles of fast purinergic synaptic signaling (Le et al., 1998a) mediated likely by native receptors generated by heteromultimerization (Le et al., 1998b; Le et al., 1999) while keeping in mind that species-dependent differences between mammalian P2X orthologs (Le et al., 1997) should be taken into account whenever rodent systems would be used for drug screening studies. (Abstract shortened by UMI.)
Styles APA, Harvard, Vancouver, ISO, etc.
8

Mulligan, Christopher. « Functional characterisation of bacterial tripartite ATP-independent periplasmic (TRAP) transporters ». Thesis, University of York, 2008. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.542833.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
9

VUERICH, Marta. « Extracellular ATP modulates Myeloid Derived Suppressor Cells functions ». Doctoral thesis, Università degli studi di Ferrara, 2014. http://hdl.handle.net/11392/2389384.

Texte intégral
Résumé :
The main obstacle to the success of the immunotherapy is the well established tumor-induced tolerance. In 2007 a new cell population, Myeloid Derived Suppressor Cells (MDSCs), that accumulate under inflammatory conditions, especially in cancer, was identified. These cells are potent inhibitors of tumor immunity and are now considered a major contributor to the failure of the immunotherapy. Understanding the exact mechanism of immunosuppressive activity of MDSC is a crucial point in order to find new ways to improve anticancer therapies. In the last years several models of MDSC functions were described, such as Arg-1 and ROS production or TGF-β release, but other factors may play a role. Two of these additional modulators might be the extracellular ATP and adenosine. In this study I have performed an extensive characterization of purinergic signaling, mainly focused on the P2X7 receptor, in two MDSC cell lines: MSC-1 and MSC-2. I have found that these cells express P2X2, P2X3, P2X5, P2X7, P2Y6, P2Y12 and P2Y13 mRNA and P2X3, P2X4, P2X5 and P2X7 proteins. Stimulation of P2 receptors induced increase in the intracellular calcium concentration, plasma membrane depolarization and permeabilization to the extracellular dyes ethidium bromide and lucifer yellow. These responses were followed by contraction of cell volume and membrane blebbing. Moreover I observed that both cell lines released ATP in the extracellular environment and that stimulation with BzATP induced release of IL-1β. Unexpectedly P2X7R in these cells was uncoupled from cytotoxicity. With regard to the immunosuppressive function, I have found that stimulation of P2X7 receptor induced increase in the production of Arg-1 and ROS, and enhanced the release of TGF-β1. Subsequently, I generated MDSC in vitro from murine bone marrow precursors and I have found that these cells express the P2X3, P2X5, P2X7 and P2Y6 receptors protein. Interestingly, P2 receptors expression is present only after the differentiation of BM in suppressor cells. Furthermore I have found that these cells release ATP and are attracted by extracellular nucleotides. Generation of adenosine from ATP by CD39 and CD73 expressed by endothelium and immune regulatory cells is an established immunosuppressive mechanism. I have found that MSC-2 express CD39 while both ectonucleotidases are detectable in bone marrow-derived MDSC. All these data suggest an involvement of ATP in tumor mediated immune suppression and open a new avenue for the investigation of the role of adenosine in this setting.
Styles APA, Harvard, Vancouver, ISO, etc.
10

Morrison, Matthew Sam. « Osteoclast function : role of extracellular pH and ATP ». Thesis, University College London (University of London), 1999. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.369218.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
11

Evseenko, Denis. « Regulation and functional significance of ATP binding cassette transporters in human placenta ». Thesis, University of Auckland, 2008. http://hdl.handle.net/2292/2348.

Texte intégral
Résumé :
The aim of this project was to study ATP binding cassette (ABC) transporters in the human placenta, in particular their regulation and role in trophoblast differentiation and survival. The presence and localisation of four major placental drug transporters, multidrug resistance gene product 1 and 3 (MDR1 and 3)/ABC subfamily B members 1 and 4 (ABCB1 and 4), multidrug resistance associated proteins 1 and 2 (MRP1 and 2)/ABCC1 and 2 and breast cancer resistance protein (BCRP)/ABCG2 was initially studied in term human placenta, cultured primary trophoblast and BeWo and Jar trophoblast-like cell lines. Jar cells were found to be more similar to nondifferentiated cytotrophoblast with respect to their ABC protein expression profile, whereas BeWo cells more closely reflected differentiated syncytiotrophoblast. Treatment of primary term trophoblasts in vitro with cytokines (TNF- or IL-1) decreased expression and activity of apical transporters ABCB1/MDR1 and ABCG2/BCRP. Growth factors, on the other hand, increased BCRP expression and activity, while estradiol stimulated BCRP, MDR1 and MDR3 expression MDR1/3 functional activity. The ability of BCRP/ABCG2 to abrogate the apoptotic effects of TNF- and ceramides was studied in primary trophoblast and BeWo cells using pharmacological and molecular (siRNA) approaches. The results suggest that BCRP/ABCG2 contributes to the resistance of trophoblast cells to cytokine-induced (extrinsic) apoptosis, whereas its effects on apoptosis activated via the intrinsic mitochondrial pathway is minimal. This altered resistance was associated with increased intracellular accumulation of ceramides and reduced ability to maintain phosphatidylserine in the inner leaflet of the plasma membrane. A role for BCRP/ABCG2 in cell protection from differentiation-induced stressors was also demonstrated during the process of cell fusion associated with transient loss of plasma membrane lipid asymmetry. Finally, expression of BCRP/ABCG2 (and 9 other genes) was studied in 50 placentas from normal pregnancy and pregnancies complicated with fetal growth restriction (FGR). A marked reduction of BCRP/ABCG2 and MDR1/ABCB1 expression was observed in FGR placentas, while other transporter genes were unaffected. Collectively these data suggest that BCRP/ABCG2 and probably other ABC transporters may play a hitherto unrecognised survival role in the placenta, conferring a “stress resistance” to trophoblast cells.
Styles APA, Harvard, Vancouver, ISO, etc.
12

Cerson, Elizabeth. « Structural and functional studies on mitochondrial ADP/ATP carriers of thermophilic organisms ». Thesis, University of Cambridge, 2014. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.648816.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
13

Salaa, Ihsene. « Functional characterisation of the putative multidrug transporter PatAB from S. pneumoniae ». Thesis, University of Cambridge, 2012. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.610746.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
14

Lê, Khanh-Tuoc. « Functional and biochemical characterization of central ATP-gated P¦2[subscript]x channels ». Thesis, National Library of Canada = Bibliothèque nationale du Canada, 1999. http://www.collectionscanada.ca/obj/s4/f2/dsk1/tape7/PQDD_0020/NQ55353.pdf.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
15

Gingras-Hill, Cédric. « Functional performance and activity and mobility profiles following total knee arthroplasty : a pilot study ». Mémoire, Université de Sherbrooke, 2012. http://hdl.handle.net/11143/6081.

Texte intégral
Résumé :
La majorité des problèmes de genoux nécessitant une arthroplastie totale du genou (ATG) résulte de la présence d'arthrose. En effet, l'arthrose induit de la douleur et affecte la mobilité de cette articulation, limitant donc la sphère de mobilité des patients. On estime que le nombre d'ATG va croître de façon exponentielle d'ici quelques années. Toutefois, les effets de cette chirurgie sur la vie quotidienne des patients demeurent méconnus. En outre, il est difficile d'évaluer son impact en raison des différents instruments utilisés pour évaluer les profils d'activité et mobilité ainsi que la fonction, après la chirurgie. L'objectif de cette étude est de décrire les différences qui persistent au niveau de la performance fonctionnelle, d'activité et mobilité, entre trois groupes (pré-ATG, 6-18 mois post-ATG et adultes sains actifs) sur une batterie de mesures (mesures d'auto-évaluation, mesures de performance et mesures écologique (actimétrique). Comme étude pilote, nous avons également évalué la faisabilité du protocole et la variabilité des données. Enfin, nous avons exploré la relation entre les trois types de mesures utilisées dans ce projet de recherche. Pour ce faire, un devis descriptif corrélationnel a été mis en place avec un total de 28 participants (actifs, n = 12, pré-ATG, n = 6 et post-ATG, n = 10). Des tests de Mann-Whitney U ont été utilisés pour trouver les différences existantes entre les groupes de participants, pour chaque type de mesure. Des corrélations de Spearman's Rho ont été utilisé [i.e. utilisées] ensuite pour explorer les relations entre les types de mesures. Comme prévu, les résultats ont montré que le groupe actif atteint des résultats plus sains dans les trois types de mesures. En majorité, les participants post-ATG ont atteint des scores plus sains que le groupe de pré-ATG. Fait intéressant, la variable time not at home active, ou temps actif non à la maison, mesurée par l'accéléromètre (une mesure écologique), était différente dans tous les groupes de participants et a été corrélée avec d'importantes mesures auto-déclarées (SF-12 physique et le IPAQ) et toutes les mesures fondées sur la performance fonctionnelle. En outre, ce qui est ressorti est le fait que le questionnaire espace de vie (mesure auto-déclarée) n'a pas une relation avec la distance maximale de l'ellipse ou de l'air de l'ellipse (mesures écologiques). Indiquant ainsi que la définition de l'espace de vie, nécessite peut-être d'être redéfinie. Une plus grande étude, à devis longitudinal est nécessaire. Cette étude a démontré que des informations supplémentaires peuvent être trouvés [i.e. trouvées] en ajoutant des mesures écologiques à des mesures auto-déclarées et de performance fonctionnelle lors de l'évaluation PTG.
Styles APA, Harvard, Vancouver, ISO, etc.
16

Weitzer, Stefan. « The ATP-dependent mechanism of cohesion function in chromosome segregation ». Thesis, University College London (University of London), 2004. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.415468.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
17

Selvamani, Sakthi. « Effect of Hepatitis B and C Viruses on Mitochondrial Function ». Thesis, University of Sydney, 2020. https://hdl.handle.net/2123/24376.

Texte intégral
Résumé :
HCV and HBV infections are leading causes of chronic hepatitis, cirrhosis, and hepatocellular carcinoma and can induce metabolic dysfunction, which may offer a selective advantage for liver cancer proliferation and survival. The liver is enriched with numerous mitochondria, providing a continuous supply of ATP for a range of cellular activities. The hypothesis of this thesis is that HBV and HCV induce mitochondrial dysfunction and metabolic disorders. A range of cell culture models and in vitro techniques were used to test this hypothesis, including the Seahorse analyser to measure mitochondrial function in real time. Mitochondrial function and membrane potential during HCV and HBV infection were decreased, which were independent of mitochondrial biogenesis. HCV infection promotes steatosis due to a combination of viral and host metabolic factors, whereas steatosis during HBV is more variable. Therefore, potential changes in lipid metabolism were investigated in our HCV and HBV models. Impaired lipid oxidation was observed during HCV infection, but not during HBV expression. Perturbation of pyruvate metabolism was proposed as a possible mechanism for mitochondrial dysfunction during HBV expression. No significant change in pyruvate but an increase in lactate concentrations was observed, due to elevated lactate dehydrogenase A, which converts pyruvate to lactate. Proteomics analysis revealed other key proteins involved in pyruvate metabolism to be differentially regulated, including increased levels of pyruvate dehydrogenase kinase. In summary, HCV infection causes mitochondrial dysfunction and reduced lipid oxidation, resulting in intracellular accumulation of lipids. In contrast, HBV expression does not affect lipids but alters pyruvate metabolism, causing lactate accumulation and promoting the “Warburg effect”. Thus, although HBV does not cause steatosis, the lactate accumulation and altered cell metabolism may promote the development and progression of liver cancer.
Styles APA, Harvard, Vancouver, ISO, etc.
18

Ishmukhametov, Robert R. « Isolation and functional studies of subunit a mutants of the Escherichia coli F1Fo ATP synthase ». Ann Arbor, Mich. : ProQuest, 2006. http://gateway.proquest.com/openurl?url_ver=Z39.88-2004&rft_val_fmt=info:ofi/fmt:kev:mtx:dissertation&res_dat=xri:pqdiss&rft_dat=xri:pqdiss:3213461.

Texte intégral
Résumé :
Thesis (Ph.D. in Biological Sciences)--S.M.U.
Title from PDF title page (viewed July 6, 2007). Source: Dissertation Abstracts International, Volume: 67-03, Section: B, page: 1427. Adviser: Steven B. Vik. Includes bibliographical references.
Styles APA, Harvard, Vancouver, ISO, etc.
19

Wang, Xuan. « Internalization of Extracellular ATP by Cancer Cells and its Functional Roles in Cancer Drug Resistance ». Ohio University / OhioLINK, 2017. http://rave.ohiolink.edu/etdc/view?acc_num=ohiou1505834714683835.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
20

Turton, Janet Susan. « An investigation of chloroplast ATPase structure and function using anti-peptide antibodies ». Thesis, Keele University, 1995. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.260303.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
21

Tunison, Mary Katherine. « Somatic stem cell populations and studies on the functional role and regulation of ABCG2 ». Access to abstract only ; dissertation is embargoed until after 12/20/2006, 2005. http://www4.utsouthwestern.edu/library/ETD/etdDetails.cfm?etdID=141.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
22

Hota, Swetansu Kumar. « STRUCTURAL AND FUNCTIONAL ANALYSIS OF THE ISW2 CHROMATIN REMODELING COMPLEX ». OpenSIUC, 2011. https://opensiuc.lib.siu.edu/dissertations/431.

Texte intégral
Résumé :
Chromatin remodelers utilize the energy derived from ATP hydrolysis to mobilize nucleosomes. ISWI remodelers mobilize and evenly space nucleosomes to regulate gene expression. ISW2, an ISWI remodeler in yeast, has been shown to reposition nucleosome near promoter regions and represses both mRNA and antisense non coding RNA transcription. ISW2 is composed of four subunits and the catalytic Isw2 subunit consists of several conserved domains. The highly conserved ATPase domain is present at the N-terminus whereas the conserved HAND, SANT and SLIDE domain are towards the carboxyl terminal end of Isw2. Nucleosome mobilization by ISW2 requires both extranucleosomal DNA and the N-terminal tail of histone H4. DNA crosslinking and peptide mapping revealed that the ATPase domain contacts nucleosome two helical turns away (SHL2) from dyad to a site close to the H4 tail, whereas the HAND, SANT and SLIDE domain contact a 30bp stretch of DNA comprising the edge of nucleosome and ~20bp of extranucleosomal DNA. The ATPase domain and the C-terminal domains were investigated for their role in regulation of ISW2 activity both in-vitro and in-vivo. It appears that there are distinct modes of ISW2 regulation by these domains. Mutation of a patch of five acidic amino acids on the region of ATPase domain that contact SHL2 was found to be crucial for both ISW2 remodeling and nucleosome stimulated ATPase activity. Acidic patch mutant ISW2 was unable to mobilize nucleosome or hydrolyze ATP in absence of H4 tail. This indicates that the region of ATPase domain contacting nucleosome at SHL2 and H4 tail act in two separate and independent pathways to regulate ISW2 remodeling. Both HAND and SLIDE domain were shown to crosslink entry/exit site and linker DNA respectively. The roles of C-terminal domains were investigated either by deletion of the individual domain or mutation of conserved basic residues on the surface of these domains that are suspected to interact extranucleosomal with DNA. Deletion of HAND domain had minimal effect on in vitro ISW2 activity, however whole genome transcription analysis revealed one key role of this domain in ISW2 regulation. In absence of HAND domain, ISW2 had minimal role on repression of genes that were RPD3 (co-factor) dependent, however significantly derepressed genes that were RPD3 independent. At these loci, nucleosome positions were altered and ISW2 recruitment was reduced in absence of a functional HAND domain. Thus the HAND domain regulates recruitment and remodeling of ISW2 at those genes where ISW2 acts independent of other cofactors. The SANT domain, C-terminal to HAND domain, appears to control the "step size" of nucleosome remodeling and was found to be required for processive nucleosome remodeling by ISW2. Both H4 tail and SANT domain appear to control two distinct stages of ISW2 remodeling. A long alpha helical spacer separates SANT domain from SLIDE domain. SLIDE domain was found to be the protein-protein interaction domain that interacts with accessory Itc1 subunit to maintain ISW2 complex integrity. The two ways by which SLIDE domain regulate ISW2 is by binding or recruitment of ISW2 to promoter regions and additionally by binding independent regulation of both ATPase and remodeling activity. The remodeling mechanism of ISW2 was further compared with another ISWI type remodeler in yeast, Isw1a; using time resolved nucleosome remodeling combined with high resolution site specific histone DNA crosslinking at six different nucleosomal positions to track the movement of the nucleosomes. Nucleosome remodeled by the same remodeler showed discontinuous nucleosome movement between two tracking points indicating formation of small "bulges". One key difference in remodeling mechanism was that although both ISW2 and Isw1a moved nucleosomes towards longer linker DNA, only Isw1a remodeled nucleosomes "backtracked" ~11bp during remodeling. Backtracking of remodeling was prominently observed at nucleosomal regions in close proximity to translocase binding sites suggesting the potentially different mechanisms shared by similar remodeling complexes.
Styles APA, Harvard, Vancouver, ISO, etc.
23

Bamber, Lisa. « Yeast mitochondrial ADP/ATP carriers are monomers in detergent and in function ». Thesis, University of Cambridge, 2007. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.612861.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
24

Bou, Dargham Daria. « Genome-wide analysis of ATP-dependent chromatin remodeling functions in embryonic stem cells ». Thesis, Université Paris-Saclay (ComUE), 2015. http://www.theses.fr/2015SACLS033/document.

Texte intégral
Résumé :
Les cellules souches embryonnaires (cellules ES) constituent un excellent système modèle pour étudier les mécanismes épigénétiques contrôlant la transcription du génome mammifère. Un nombre important de membres de la famille des facteurs de remodelage de chromatine ATP-dépendants ont une fonction essentielle pour l’auto-renouvellement des cellules ES, ou au cours de la différentiation. On pense que ces facteurs exercent ces rôles essentiels en régulant l’accessibilité de la chromatine au niveau des éléments régulateurs de la transcription, en modulant la stabilité et le positionnement des nucléosome.Dans ce projet, nous avons conduit une étude génomique à grande échelle du rôle d’une dizaine des remodeleurs (Chd1, Chd2, Chd4, Chd6, Chd8, Chd9, Ep400, Brg1, Smarca3, Smarcad1, Smarca5, ATRX et Chd1l) dans les cellules ES. Une double stratégie expérimentale a été utilisée : Des expériences d’immunoprécipitation de la chromatine suivi par un séquençage à haute-débit (ChIP-seq) sur des cellules ES étiquetées pour les différents remodeleurs, pour étudier leur distribution sur le génome, et un approche transcriptomique sur des cellules déplétées de chaque remodeleur par traitement avec des vecteurs shRNA (knockdown). Nous avons établi les profils de liaison des remodeleurs sur des éléments régulateurs (promoteurs, enhancers et sites CTCF) sur le génome, et montré que ces facteurs occupent toutes les catégories d’éléments régulateurs du génome. La corrélation entre les données ChIP-seq et les données transcriptomiques nous a permis d’analyser le rôle des remodeleurs dans les réseaux de transcription essentiels des cellules ES. Nous avons notamment démontré l’importance particulière de certains remodeleurs comme Brg1, Chd4, Ep400 et Smarcad1 dans la régulation de la transcription chez les cellules ES
The characteristics of embryonic stem cells (ES cells) make them one of the best models to study the epigenetic regulation exerted by different actors in order to control the transcription of the mammalian genome. Members of the Snf2 family of ATP-dependent chromatin remodeling factors were shown to be of specific importance for ES cell self-renewal and during differentiation. These factors are believed to play essential roles in modifying the chromatin landscape through their capacity to position nucleosomes and determine their occupancy throughout the genome, making the chromatin more or less accessible to DNA binding factors.In this project, a genome-wide analysis of the function of a number of ATP-dependent chromatin remodelers (Chd1, Chd2, Chd4, Chd6, Chd8, Chd9, Brg1, Ep400, ATRX, Smarca3, Smarca5, Smarcad1 and Alc1) in mouse embryonic stem (ES) cells was conducted. This was done using a double experimental strategy. First, a ChIP-seq (Chromatin Immunoprecipitation followed by deep sequencing) strategy was done on ES cells tagged for each factor in the goal of revealing the genomic binding profiles of the remodeling factors. Second, loss-of-function studies followed by transcriptome analysis in ES cells were performed in order to understand the functional role of remodelers. Data from both studies were correlated to acquire a better understanding of the role of remodelers in the transcriptional network of ES cells. Specific binding profiles of remodelers on promoters, enhancers and CTCF binding sites were revealed by our study. Transcriptomic data analysis of the deregulated genes upon remodeler factor knockdown, revealed the essential role of Chd4, Ep400, Smarcad1 and Brg1 in the control of transcription of ES cell genes. Altogether, our data highlight how the distinct chromatin remodeling factors cooperate to control the ES cell state
Styles APA, Harvard, Vancouver, ISO, etc.
25

Tamura, Kouichi. « Atomistically Deciphering Functional Large Conformational Changes of Proteins with Molecular Simulations ». 京都大学 (Kyoto University), 2016. http://hdl.handle.net/2433/215334.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
26

Möckel, Carolin. « Structural and functional analysis of ATP dependent conformational changes in the bacterial Mre11:Rad50 catalytic head complex ». Diss., lmu, 2011. http://nbn-resolving.de/urn:nbn:de:bvb:19-138043.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
27

Marchand, Laurène. « Etude fonctionnelle et structurale d'un transporteur d'ATP/ADP chloroplastique ». Thesis, Grenoble, 2014. http://www.theses.fr/2014GRENV020/document.

Texte intégral
Résumé :
L'hydrolyse de l'ATP en ADP constitue la principale source d'énergie de la cellule. Le transport de ce nucléotide depuis son lieu de synthèse vers le cytosol est essentiel pour la plupart des réactions métaboliques et nécessite un passage à travers les membranes. Ainsi, un grand nombre de transporteurs d'ATP/ADP sont présents dans les différents organites tels que les mitochondries, les chloroplastes, et autres types de plastides mais aussi chez les bactéries pathogènes (Rickettsia prowazekii, Protoclamydiae amoebophila) (Trentmann et al, 2007).L'équipe s'intéresse principalement à 2 types de transporteurs d'ADP et d'ATP, la famille des transporteurs mitochondriaux (MCF) et la famille des NTT (plastes et bactéries). Malgré des fonctions similaires, ces 2 familles de transporteurs possèdent des propriétés biochimiques et structurales différentes. De nos jours, il n'existe aucune information structurale disponible sur la famille des NTTs. La détermination de cette structure pourrait permettre de comprendre le mécanisme de transport de ces transporteurs mais plus généralement comprendre le transport de l'ATP et ADP dans les cellules.Une étude a été initiée sur la structure et la fonction de la famille des NTT plus particulièrement des transporteurs chloroplastiques d'Arabidopsis thaliana mais aussi des transporteurs bactériens. Toutefois, ma thèse concerne principalement les transporteurs chloroplastiques NTT1 et NTT2. Ces 2 isoformes sont localisées dans la membrane interne des chloroplastes et permettent de pourvoir le stroma en ATP lorsque la photosynthèse ne peut pas avoir lieu par manque de lumière.Nous avons déterminé et optimisé les conditions de surexpression des 2 isoformes dans un système hétérologue puis de purification en détergent). Nous avons mis au point des méthodes permettant de caractériser le transporteur en solution et de mesurer son activité dans le but d'aboutir à une étude structurale. Des pistes de cristallisation ont également étaient obtenues
ATP is the main energy currency in the cell and its transport across membranes is essential for most of the metabolic reactions. A large number of ATP/ADP transporters are present in the different cell organelles such as mitochondria, chloroplasts, other types of plastids and some are also found in bacteria (Rickettsia prowazekii, Protoclamydiae amoebophila) (Trentmann et al, 2007). The team is mainly interested in two distinct transporters families, the mitochondrial carrier family (MCF) and the NTT family. Despite similar function, mitochondrial ADP/ATP transporters (Pebay-Peyroula et al, 2003) and NTT proteins exhibit different structural and biochemical properties. To date no structural information is available on the NTT family. The determination of a structure would help for understanding the transport mechanism of these carriers and more generally the different mechanisms of the transport of ADP and ATP within the cell.We initiated a structure-function study on the NTT family focusing on chloroplast transporters from Arabidopsis thaliana and also from bacteria. My thesis is focused on chloroplast NTT1 and NTT2. These isoforms are localized in the inner membrane of chloroplast. They transport ATP inside the chloroplast in order to supply the different reactions occurring in the stroma when the photosynthesis does not occur.We have determined and optimized conditions to overexpress these 2 isoforms in heterologous systems and to purify the protein in detergents. We have also set up tools to characterize the carrier in solution and to measure its transport activity opening the way to functional and structural studies. We obtained promising crystallization hits
Styles APA, Harvard, Vancouver, ISO, etc.
28

Jackson, P. J. « The control of ATP synthesis in heart mitochondria : Functions of a naturally-occurring inhibitor protein ». Thesis, University of Leeds, 1987. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.381278.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
29

Murawska, Magdalena [Verfasser], et Alexander [Akademischer Betreuer] Brehm. « Functional characterization of ATP-dependent chromatin remodelers of the CHD family of Drosophila / Magdalena Murawska. Betreuer : Alexander Brehm ». Marburg : Philipps-Universität Marburg, 2011. http://d-nb.info/1016532776/34.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
30

De, Angeli Alexis. « Functional characterization of the vacuolar transporter ClCa from Arabidopsis thaliana : NO3-/H+ exchange activity and regulation by nucleotides ». Paris 11, 2008. http://www.theses.fr/2008PA112073.

Texte intégral
Résumé :
Le nitrate représente pour la majeure partie des plantes terrestres une importante source d’azote. Les plantes absorbent le nitrate du sol, l’assimilent dans des composés azotés et en stockent le surplus dans la vacuole centrale. Les protéines responsables du transport intracellulaire du nitrate sont encore inconnues, mais il a été suggéré que des protéines de la famille des CLC (ChLoride Channel) pouvaient être impliquées dans ces fonctions. Ces travaux de thèse démontrent que la protéine AtClCa (Arabidopsis thaliana ClC) est localisée dans la membrane vacuolaire et transporte des anions au travers du tonoplaste. Ils démontrent également que AtClCa est un antiport NO3-/H+ avec une stoechiométrie de 2 NO3- transportés pour chaque H+ transféré. Sa propriété d’antiport, ainsi que sa spécificité pour le nitrate, permettent à AtClCa d’accumuler le nitrate dans la vacuole. Son activité de transport est inhibée par l’ATP, alors que l’ADP et l’AMP n’ont pas d’effet sur le courant porté par AtClCa. Cependant, l’AMP et l’ATP entrent en compétition pour le site d’interaction avec AtClCa. Ce site d’interaction avec les nucléotides se trouve probablement dans le domaine C-terminal de la protéine. Le domaine C-terminal de AtClCa a été modélisé en utilisant la structure du C-terminal de la protéine humaine hClC-5. Les données de dynamique moléculaire obtenues via ce modèle s reproduisent les propriétés d’interaction entre AtCLCa et les nucléotides déterminées expérimentalement. L’ensemble de ces données montre que AtClCa est un élément clef de l’homéostasie du nitrate intracellulaire, et que son activité de transport est régulée en fonction de l’état métabolique de la cellule
Nitrate is the major nitrogen source for plants. Plants absorb nitrate from the soil, assimilate it in nitrogen compounds and stock the surplus of nitrate in the central vacuole. The proteins responsible for the intracellular transport of nitrate are unknown. It has been suggested that proteins that belong to the CLC family (ChLoride Channel) could be involved in nitrate intracellular homeostasis. In the present thesis we showed that AtClCa (Arabidopsis thaliana ClCa) is localized in the vacuolar membrane, and demonstrated its ability to mediate anions currents across the tonoplast. We could also demonstrate that AtClCa is a NO3-/H+ antiporter with a stoichiometry of 2NO3- transported for each H+ transferred. The antiporter property, together with nitrate specificity, enable AtClCa to mediate the accumulation of nitrate in the vacuole. We also showed that the current mediated by AtClCa is inhibited by ATP. ADP and AMP have no effect on AtClCa current, but AMP competes with ATP for the site of interaction with AtClCa. The interaction of nucleotides with AtClCa takes place presumably at its C-terminal. The C-terminal domain of AtClCa has been modelled by homology using the structure of the C-terminal of hClC-5. The data obtained with this model by molecular dynamics simulations can reproduce the experimental data on the interaction properties of AtClCa and the nucleotides. The set of data presented in this thesis shows that AtClCa is a key element for the homeostasis of intracellular nitrate, and that its transport activity is regulated in function of the metabolic state of the cell
Styles APA, Harvard, Vancouver, ISO, etc.
31

Shehade, Hussein. « Regulation of T helper function by the microenvironment : role of hypoxia and ATP metabolism ». Doctoral thesis, Universite Libre de Bruxelles, 2014. http://hdl.handle.net/2013/ULB-DIPOT:oai:dipot.ulb.ac.be:2013/209290.

Texte intégral
Résumé :
In this work, we were interested in studying the effect of two main metabolic factors, hypoxia and extracellular ATP metabolism, on the effector function of T helper subsets. The major oxygen sensor is HIF-1α which is continuously degraded in the presence of oxygen but is stabilized in hypoxia, leading to transcription of genes involved in cellular adaptation to low oxygen level. Our data show that the proportion of IFN-&
Doctorat en Sciences
info:eu-repo/semantics/nonPublished
Styles APA, Harvard, Vancouver, ISO, etc.
32

Nicolaou, Michael. « Structure and function analysis of the mammalian ATP-binding cassette transporters, ABCB1 and ABCB4 ». Thesis, Queen Mary, University of London, 2012. http://qmro.qmul.ac.uk/xmlui/handle/123456789/8560.

Texte intégral
Résumé :
Mammalian ABC (ATP-binding cassette) transporters are integral membrane proteins that translocate allocrites across biological membranes using ATP as a substrate. ABCB1 is a polyspecific efflux pump which can confer multidrug resistance in cancer. ABCB1 is also expressed in a variety of normal tissues where it functions to prevent the accumulation of toxic allocrites. Direct inhibition of ABCB1 can therefore have detrimental effects on patients. Identification of ABCB1-interacting partners that influence trafficking or function would therefore provide alternative targets for therapy which may be cell- or tissue-type specific. The “split-ubiquitin” yeast two-hybrid system, that can detect protein:protein interactions at the plasma membrane, was used to screen for ABCB1-interactors in a human liver library. All candidates isolated from the screen interacted with ABCB1 in a non-specific manner when subjected to strict testing. ABCB4, a close relative of ABCB1, is expressed primarily at the hepatocyte canalicular membrane where it flops phosphatidylcholine (PC) into the outer leaflet for extraction by bile salts. Many ABCB4 non-synonymous mutations have been linked to cholestatic liver diseases in humans, but data confirming an impact on ABCB4 function is lacking. Transient expression of wild-type (WT) ABCB4 in tissue culture has proved difficult because the protein is toxic to HEK293T cells. However, co-expression of the phosphatidylserine flippase ATP8B1 (FIC1) and its accessory protein CDC50A allowed the cells to tolerate ABCB4. To investigate the impact of SNPs on ABCB4 function, equivalent changes were introduced into the ABCB4 cDNA for transient expression in the presence or absence of ATP8B1/CDC50A. ABCB4 expression and targeting to the plasma membrane were monitored by western analysis and confocal microscopy, respectively, and, by “feeding” the transfected cells [methyl-3H]choline, PC efflux to added bile salt acceptor was measured. By thus mimicking the situation at the canalicular membrane I report the preliminary characterisation of nine variants of ABCB4 that have been linked to cholestatic liver disease.
Styles APA, Harvard, Vancouver, ISO, etc.
33

O'Neal, Scott Thomas. « The role of ATP-sensitive inwardly rectifying potassium channels in the honey bee (Apis mellifera L.) ». Diss., Virginia Tech, 2017. http://hdl.handle.net/10919/78344.

Texte intégral
Résumé :
Honey bees are economically important pollinators of a wide variety of crops that have attracted the attention of both researchers and the public alike due to unusual declines in the numbers of managed colonies in some parts of the world. Viral infections are thought to be a significant factor contributing to these declines, along with exposure to agricultural and apicultural pesticides, but viruses have proven a challenging pathogen to study in a bee model and interactions between viruses and the bee antiviral immune response remain poorly understood. Recent studies have demonstrated an important role for inwardly-rectifying ATP-sensitive potassium (KATP) channels in the cardiac regulation of the fruit fly antiviral immune response, but no information is available on their role in the heart-specific regulation of bee immunity. The results of this work demonstrate that KATP channel modulators have an observable effect on honey bee heart rate that supports their expected physiological role in bee cardiac function. Here, it is also reported that the entomopathogenic flock house virus (FHV) infects adult bees, causing rapid onset of mortality and accumulation of viral RNA. Furthermore, infection-mediated mortality can be altered by pre-exposure to KATP channel modulators. Finally, this work shows that exposure to environmental stressors such as commonly used in-hive acaricides can impact bee cardiac physiology and tolerance to viral infection. These results suggest that KATP channels provide a significant link between cellular metabolism and the antiviral immune response in bees and highlight the significant impact of environmental stressors on pollinator health.
Ph. D.
Styles APA, Harvard, Vancouver, ISO, etc.
34

Principalli, Maria Antonietta. « Etude structure-fonction du canal Kir6.2 et de son couplage avec des partenaires naturels et artificiels ». Thesis, Université Grenoble Alpes (ComUE), 2015. http://www.theses.fr/2015GREAV014/document.

Texte intégral
Résumé :
Les canaux potassiques sensibles à l'ATP (K-ATP) jouent un rôle fondamental au sein de la cellule, puisqu'ils ajustent le potentiel de membrane en fonction de l'état métabolique. Ils combinent deux types de protéines: le récepteur des Sulfonylurée (SUR), protéine régulatrice faisant partie des transporteurs ABC, et le canal potassique rectifiant entrant Kir6. Elles s'associent en formant un hétérooctamère (4 SUR/4 Kir6) d'une taille de ~ 1MDa. A l'heure actuelle, l'unique structure disponible de ce complexe est une structure basse-résolution de 18 Å qui ne permet pas de visualiser correctement l'arrangement des différentes sous-unités. Le but principal de ce projet de thèse était d'obtenir des informations à la fois structurales et fonctionnelles sur le couplage entre Kir6.2 et SUR.Il existe 2 isoformes du Kir6 humain (Kir6.1 et 6.2) et 3 isoformes de SUR : SUR1, principalement exprimée avec Kir6.2 dans les cellules β pancréatiques et les neurones ; SUR2A, très abondante avec Kir6.1 dans les muscles cardiaques et squelettiques ; et SUR2B, présent avec Kir6.1 au niveau des muscles lisses. La façon dont SUR est capable de moduler l'ouverture du canal en réponse à la fixation d'un ligand est encore mal comprise.Au sein du canal K-ATP, SUR a un rôle de modulateur du gating de Kir6.2. Il a été montré que trois résidus (E1305, I1910, L1313) dans SUR2A, étaient impliqués dans la « voie d'activation » liant la fixation d'un ligand sur SUR2A et l'ouverture du canal Kir6. Afin d'examiner le rôle des résidus correspondants au sein de SUR1, nous avons réalisé des chimères entre SUR1 et le transporteur ABC MRP1 (qui n'interagit pas avec Kir6.2) et utilisé la technique du patch-clamp pour évaluer leur fonctionnalité. Nos résultats ont montré que les mêmes résidus au sein de SUR1 et SUR2A sont impliqués dans l'association fonctionnelle avec Kir6.2, mais que les spécificités au niveau de la chaine latérale pourraient expliquer les propriétés propres aux canaux pancréatiques et cardiaques. En effet, dans le pancréas, les canaux SUR1/Kir6.2 sont partiellement actifs au repos tandis que les canaux SUR2A/Kir6.2 du cœur sont principalement fermés. Cette spécificité peut être expliquée par les interactions spécifiques de SUR1 et SUR2A avec Kir6.2.La participation du canal Kir6.2 dans le couplage avec SUR ne peut être facilement étudiée puisque la région allant du N-terminal de Kir6.2 jusqu'à sa première hélice est physiquement associée à SUR. Des mutations à ce niveau pourraient affecter à la fois l'interaction physique et fonctionnelle avec SUR. Pour passer outre cet obstacle, nous avons utilisé la technologie ICCR développée dans notre laboratoire. Les ICCRs sont des protéines artificielles créées par couplage physique du C-terminal d'un RCPG au N-terminal de Kir6.2. Cette technologie permet l'étude de la fonction du N-ter de Kir6.2 puisque la fusion entre le RCPG et le canal assure une association fonctionnelle : le signal électrique généré par le canal ionique est directement lié à la fixation du ligand sur le RCPG. Le domaine reliant les deux protéines est essentiel pour la fonction de l'ICCR et sa longueur affecte la régulation du canal. De façon intéressante, deux ICCRs de même longueur mais ayant 9 résidus de différence présentent deux phénotypes différents : un fonctionnel, un inactif. L'ICCR inatif est caractérisé par la perte des résidus 26 à 34 du N-ter contenant 5 arginines. Nous avons réalisé la cartographie fonctionnelle de ces résidus essentiels pour la régulation de Kir6.2. Successivement, nous avons effectué les mêmes mutations d'arginines au sein du canal naturel K-ATP, mais n'avons pas observé de différence entre le canal muté et sauvage. Ces résultats suggèrent qu'il existe au moins deux voie de régulation pour le gating de Kir6.2 : une via les arginines du N-ter (utilisé par les RCPGs) et l'autre, toujours inconnue, utilisée par SUR
ATP-sensitive potassium (K-ATP) channels play a key role in adjusting the membrane potential to the metabolic state of cells. They result from the unique combination of two proteins: the SulfonylUrea Receptor (SUR), a protein of the ABC transporters family, and the inward rectifier K+ channel Kir6. Both subunits associate to form a heterooctamer (4 SUR/4 Kir6) of ~ 1MDa. A high-resolution structure of the complex is still missing. To date, only a 18 Å structure of the full complex is available. Unfortunately, the low resolution prevent visualization of subunits arrangement. This PhD project aimed at obtaining structural and functional information on the functional coupling between Kir6.2 and SUR. Structural studies are still in progress.While 2 isoforms of the human Kir6 protein exists (Kir6.1 and 6.2), 3 isoforms of the SUR protein are known: SUR1, mostly expressed in pancreatic β-cells and neurons mainly with Kir6.2, SUR2A, abundant in cardiac and skeletal muscle mainly with Kir6.2, and SUR2B, found in smooth muscle mostly with Kir6.1. How SUR modulates channel gating in response to the binding of ligands is still poorly understood.The SUR protein belongs to a family of transporters but in K-ATP works as a gating modulator. How a 'transporter' modulate Kir6 gating? In SUR2A three residues (E1305, I1310, L1313) were found to be implicated in the ‘activation pathway' linking binding of openers to SUR2A and channel opening. To examine the role of the matching residues in the SUR1 isoform, we designed chimeras between SUR1 and the ABC transporter MRP1 (which does not interact with Kir6.2), and used patch clamp to assess the functionality of SUR1/MRP1 K-ATP chimeric channels. Our results reveal that the same residues in SUR1 and SUR2A are involved in the functional association with Kir6.2, but they display side-chain specificities that could account for the contrasted properties of pancreatic and cardiac K-ATP channels. In fact, in pancreas, SUR1/Kir6.2 channels are partly active at rest while in cardiomyocytes SUR2A/Kir6.2 channels are mostly closed. This divergence of function could be related to differences in the interaction of SUR1 and SUR2A with Kir6.2.The participation of the Kir6.2 channel in the coupling with SUR cannot be easily studied, as the region spanning from Kir6.2 N-terminal to its first helix is in thigh physical association with SUR. Mutations at this level could affect both physical and functional interaction with the regulatory subunit. To overcome this obstacle we used the ICCR technology developed in our laboratory. ICCRs are artificial proteins created by physical and functional linkage of a GPCR C-terminus to the Kir6.2 N-terminus. ICCRs provide a unique method to study the function of the Kir6.2 channel N-terminal, as the fusion between GPCR and channel ensure physical association. In ICCRs the electrical signal generated by the ion channel is directly linked to ligand binding on the GPCR. The domain linking GPCR and channel is crucial for ICCR function and its length affects channel regulation. Interestingly, two ICCRs, having identical linker length but nine residues differences at the fusion point, showed different phenotypes: one functional, one inactive (no channel regulation). The inactive ICCR is characterized by the lack of residues 26 to 34 in the channel N-terminus containing 5 arginines. We functionally mapped these arginines and identify specific residues essential for Kir6.2 regulation. Successively, we transferred this knowledge to the K-ATP mutating the previously found essential arginines. Here, we did not observe any change compared to wild-type channels. This result suggest that there are at least two ways to modulate Kir6.2 gating: one through the arginines in the N-terminal (used by the GPCR) and another, still unknown, used by SUR
Styles APA, Harvard, Vancouver, ISO, etc.
35

Paustian, Christopher Charles. « MULTIPLE DANGER SIGNALS AND THEIR EFFECT ON MONOCYTE DERIVED DENDRITIC CELL PHENOTYPE AND FUNCTION ». Kent State University / OhioLINK, 2010. http://rave.ohiolink.edu/etdc/view?acc_num=kent1277947985.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
36

Moiseeva, Vera. « Caractérisation de l'état oligomérique du transporteur mitochondrial ADP/ATP dans des membranes natives ». Thesis, Grenoble, 2012. http://www.theses.fr/2012GRENY018.

Texte intégral
Résumé :
Le passage sélectif de molécules à travers la membrane interne des mitochondries est essentiel aux processus métaboliques des cellules eucaryotes. Cette communication cellulaire est assurée par des protéines transmembranaires de la famille des transporteurs mitochondriaux (MCF). Le transporteur ADP/ATP (AAC) est le membre le plus connu et le mieux caractérisé de cette famille. Il est responsable de l'import d'ADP dans la matrice mitochondriale et de l'export d'ATP après synthèse vers le cytosol. La structure d'AAC est connue mais plusieurs questions restent ouvertes concernant le mécanisme du transport, la sélectivité et l'état oligomérique, controversé, de la protéine. Pendant plusieurs années des études biochimiques réalisées sur la protéine solubilisée en détergent étaient en faveur d'une organisation dimérique du transporteur, mais la structure d'AAC, monomérique a remis en cause ce dogme. Afin de caractériser l'organisation oligomérique d'AAC in vivo, nous avons combiné plusieurs approches. Nous avons réalisé des expériences de FRET (Fluorescence Resonance Energy Transfer) directement sur des cellules mammifères ou bactériennes (E. coli) surexprimant la protéine AAC fusionnée avec des sondes FRET. En parallèle, nous avons mis au point des tests fonctionnels afin de contrôler l'état des mitochondries et l'activité du transporteur dans ces cellules. Enfin nous avons étudié la stoechiométrie de liaison de l'inhibiteur carboxyatractyloside grâce à des mesures de respiration sur des mitochondries extraites de foie de rat et placées dans différents états métaboliques. L'ensemble des résultats présentés dans ce manuscrit ont permis de montrer que 1) l'unité fonctionnelle d'AAC est monomérique 2) l'organisation structurale d'AAC dans les membranes natives dépend de l'état métabolique des mitochondries et peut être associée à des phénomènes de régulation
The transport of small molecules through the inner mitochondrial membrane is essential in eukaryotic metabolism and is selectively controlled by a family of integral membrane proteins, the Mitochondrial Carrier Family (MCF). The ADP/ATP carrier (AAC), which is responsible for the import of ADP to the matrix of mitochondria and the export of newly synthesized ATP toward the cytosol, is the best-known and characterized MCF member. Although its structure sheds light on several aspects of the carrier activity, additional investigations are still required to decipher the whole transport mechanism, to understand the specificity and to characterize the controversial oligomeric state of the protein. For many years, based on studies mainly carried on detergent solubilized AAC the general consensus has been in favor of a dimeric organization of the carrier. The AAC three-dimensional structure, monomeric, broke this dogma. In order to get a precise insight into the in vivo oligomeric organization of AAC we combined several approaches. Fluorescence resonance energy transfer (FRET) measurements were performed directly on mammalian and E.coli cells expressing AAC labeled with several types of FRET probes. In parallel, different functional assays were established to control the state of the mitochondria in these cells and the transport activity of these AAC fusions. Lastly, measurements of the respiration rate coupled to the titration of the inhibitory effect of carboxyatractyloside on isolated rat liver mitochondria were used to investigate the organization of AAC in native mitochondria within two regimes of oxidative phosphorylation. Taken together the results described herein revealed that 1) AAC can function mechanistically as a monomer, 2) the organization of AAC in native membranes might be related to the state of the mitochondria and be involved in regulation
Styles APA, Harvard, Vancouver, ISO, etc.
37

Gonzalez, Granillo Marcela Alejandra. « La bioénergétique systémique moléculaire des cellules cardiaques : la relation structure-fonction dans la régulation du métabolisme énergétique compartmentalisé ». Thesis, Grenoble, 2012. http://www.theses.fr/2012GRENV078/document.

Texte intégral
Résumé :
An important element of metabolic regulation of cardiac and skeletal muscle energetics is the interaction of mitochondria with cytoskeleton. Mitochondria are in charge of supplying the cells with energy, adjusting its functional activity under conditions of stress or other aspects of life. Mitochondria display a tissue-specific distribution. In adult rat cardiomyocytes, mitochondria are arranged regularly in a longitudinal lattice at the level of A band between the myofibrils and located within the limits of the sarcomeres. In interaction with cytoskeleton, sarcomeres and sarcoplasmic reticulum they form the functional complexes, the intracellular energetic units (ICEUs). The ICEUs have specialized pathways of energy transfer and metabolic feedback regulation between mitochondria and ATPases, mediated by CK and AK. The central structure of ICEUs is the mitochondrial interactosome (MI) containing ATP Synthasome, respiratory chain, mitochondrial creatine kinase and VDAC, regulated by tubulins. The main role of MI is the regulation of respiration and the intracellular energy fluxes via phosophotransfer networks. The regulation of ICEUs is associated with structural proteins. The association of mitochondria with several cytoskeletal proteins described by several groups has brought to light the importance of structure-function relationship in the metabolic regulation of adult rat cardiomyocytes. To purvey a better understanding of these findings, the present work investigated the mechanism of energy fluxes control and the role of structure-function relationship in the metabolic regulation of adult rat cardiomyocytes. To show these complex associations in adult cardiac cells several proteins were visualized by confocal microscopy: α-actinin and β-tubulin isotypes. For the first time, it was showed the existence of the specific distribution of β-tubulin isotypes in adult cardiac cells. Respiratory measurements were performed to study the role of tubulins in the regulation of oxygen consumption. These results together confirmed the crucial role of cytoskeletal proteins -i.e. tubulins, α-actinin, plectin, desmin, and others- for the normal shape of cardiac cells as well as mitochondrial arrangement and regulation. In addition, in vivo - in situ mitochondrial dynamics were studied by the transfection of GFP-α-actinin, finding that fusion phenomenon does not occur as often as it is believed in healthy adult cardiac cells
Un élément important de la régulation du métabolisme énergétique des muscles cardiaque et squelettiques est l'interaction des mitochondries avec le cytosquelette. Les mitochondries sont responsables de l'approvisionnement des cellules en énergie, elles sont capables d'ajuster leur activité fonctionnelle en fonction des conditions de stress ou d'autres aspects de la vie. Les mitochondries ont une distribution spécifique selon les tissus. Dans les cardiomyocytes de rats adultes, les mitochondries sont disposées régulièrement dans un entrelacement longitudinal au niveau des bandes A, entre les myofibrilles et dans les limites des sarcomères. En interaction avec le cytosquelette, le sarcomère et le réticulum sarcoplasmique, elles forment des complexes fonctionnels appelés unités énergétiques intracellulaires (ICEUs). Les ICEUs ont des voies spécialisées de transfert d'énergie et de régulation des feedback métaboliques entre les mitochondries et les ATPases, médiée par la CK et l'AK. La structure centrale des ICEUs est l'interactosome mitochondrial (MI) qui confient l'ATP synthasome, la chaîne respiratoire, la créatine kinase mitochondriale et VDAC, qui pourrait être régulé par les tubulines. Le rôle principal du MI est la régulation de la respiration et des flux d'énergie intracellulaires via les réseaux de phosphotransfert. La régulation des ICEUs est liée aux protéines structurales. L'association des mitochondries avec plusieurs protéines du cytosquelette, décrite par plusieurs groupes, a mis en évidence l'importance de la relation structure-fonction dans la régulation métabolique des cardiomyocytes de rats adultes. Pour fournir une meilleure compréhension de ces résultats, le présent travail étudie le mécanisme de contrôle des flux d'énergie et le rôle des relations structure-fonction dans la régulation métabolique de cardiomyocytes de rats adultes. Pour montrer ces associations complexes dans les cellules cardiaques adultes, plusieurs protéines ont été visualisées par microscopie confocale: l'α-actinine et les isoformes des β-tubulines. Pour la première fois, l'existence d'une distribution spécifique des isoformes de β-tubuline dans les cellules cardiaques adultes a été montré. Des mesures respiratoires ont été réalisées pour étudier le rôle des tubulines dans la régulation de la consommation d'oxygène. Ces résultats ont confirmé le rôle déterminant des protéines du cytosquelette -tubulines, α-actinine, plectine, desmine, et autres- pour le maintien de la forme normale des cellules cardiaques, ainsi que de l'arrangement et de la régulation mitochondrial. En outre, la dynamique mitochondriale a été étudiée in vivo et in situ par la transfection de la GFP-α-actinine, ceci permettant la mise en évidence du fait que le phénomène de fusion ne se produit pas aussi souvent qu'on ne le croit pour des cellules cardiaques adultes en bonne santé
Styles APA, Harvard, Vancouver, ISO, etc.
38

Zein, Aiman. « Structure-Function Relationship of the Sterol Transporter ABCG5/G8 : Expression, Purification and Enzymatic Characterization of ABCG5/G8 Missense Loss of Function Mutations ». Thesis, Université d'Ottawa / University of Ottawa, 2020. http://hdl.handle.net/10393/40742.

Texte intégral
Résumé :
The heterodimeric ATP-binding cassette (ABC) transporter, ABCG5/G8, is responsible for direct secretion of cholesterol and dietary sterols into the gut lumen and the bile. Inactivating mutations of ABCG5/G8 cause sitosterolemia, a rare autosomal recessive disease characterized by the accumulation of plant sterols in plasma, hypercholesterolemia and development of premature coronary heart disease. Functional and structural characterization of ABCG5/G8 is necessary to understand its mechanism and how the genetic defects impact its function. In this thesis, I expressed seventeen constructs of various disease-causing or catalytically deficient missense mutations in Pichia pastoris yeast. This establishes reagents for in vitro functional and structural studies. Secondly, I focused on two disease mutants (ABCG5-E146Q and ABCG8-R543S) and a sterol binding mutation (ABCG5-A540F) and established large-scale purification of these mutants. Using a cholesterol hemisuccinate (CHS)-dependent ATPase assay, I determined ATP hydrolysis by these three mutants and analyze their kinetic parameters. All missense mutants showed a significantly impaired ATPase activity, but the ability of ATP binding appeared unchanged between the WT and the mutants. This work demonstrates an intimate structure-function relationship in ABCG5/G8 and sheds some light on the mechanistic details of this important cholesterol-regulating ABC transporter.
Styles APA, Harvard, Vancouver, ISO, etc.
39

Chan, Lai Ling Sharon Medical Sciences Faculty of Medicine UNSW. « The potential function of ATP-binding cassette A7 in the brain : implications for Alzheimer's disease ». Publisher:University of New South Wales. Medical Sciences, 2009. http://handle.unsw.edu.au/1959.4/44409.

Texte intégral
Résumé :
ABCA7 is the closest homologue of ABCA1, which is established to play a key role in reverse cholesterol transport. ABCA1 deficiency causes Tangier disease and several ABCA1 modulations have been recently associated with Alzheimer’s disease. Prior research on ABCA7 focused on its role in macrophage lipid efflux and phagocytosis, however, tissue expression studies in mice revealed that ABCA7 is highly expressed in the brain. The function of ABCA7 in the brain is unknown and the purpose of this thesis was therefore to investigate the potential function of ABCA7 in the brain and the possible implications for Alzheimer’s disease. This was achieved by using in vitro models that transiently express ABCA7 and in vivo models including ABCA7 knockout (ABCA7 KO) mice and human APP transgenic (APPSwInd Tg) mice. ABCA7 was shown to potently stimulate cholesterol efflux from cells to extracellular apoE acceptors. Additionally, apoE expression was decreased by 20% in the brains of ABCA7 KO mice compared to wild type littermate controls and by 46% in ABCA7-deficient macrophages. In vitro models that stably express human APP protein demonstrated that the transient expression of ABCA7 increased intracellular APP targeting, which led to the inhibition of APP processing and a resultant decrease in the production of Aβ. In addition, ABCA7-deficient macrophages displayed impaired capacity to endocytose and degrade Aβ oligomers. Finally, in aged APPSwInd Tg mice where abundant amyloid plaques were present, ABCA7 expression was significantly decreased by 48%. Since cholesterol homeostasis modulates APP processing, the findings of this thesis suggest that ABCA7 may have a role in maintaining cellular cholesterol homeostasis via cholesterol efflux to apoE acceptors and possibly by regulating intracellular trafficking of specific membrane proteins. In summary, ABCA7 was clearly shown in this thesis to have an effect on Aβ generation, clearance and degradation. Furthermore, ABCA7 expression was also affected by Aβ deposition in the brain. Even though more work is required to further elucidate the exact function of ABCA7, the findings presented in this thesis provide the first clues to the function of ABCA7 in the brain.
Styles APA, Harvard, Vancouver, ISO, etc.
40

ROTTOLI, ELSA. « ATP-GATED P2X7 RECEPTOR AS A NOVEL CHECKPOINT MOLECULE IN T EFFECTOR/MEMORY CELL FUNCTION ». Doctoral thesis, Università degli Studi di Milano, 2018. http://hdl.handle.net/2434/556636.

Texte intégral
Résumé :
Adenosine triphosphate is an ubiquitous extracellular messenger, which activates P2 purinergic receptors in the plasma membrane of eukaryotic cells. Activation of P2 receptors regulates many cellular functions ranging from survival and proliferation to apoptosis. The final effect of extracellular ATP on a cell depends on the composition of P2 receptor expressed on its surface. We showed that T effector/memory (TEM) cells express high levels of P2rx7 encoding for the ATP-gated ionotropic P2X7 receptor subtype. The deletion of the gene in these cells results in increased survival and cell proliferation both in vitro and in an in vivo model of homeostatic expansion. P2rx7-/- TEM cells are characterized by a bioenergetic advantage with respect to wild-type (WT) cells and morphometric analysis of mitochondria revealed that P2rx7-/- TEM cells are characterized by a fused mitochondria network, while WT TEM cells have more fissed mitochondria. Microarray gene expression analysis showed that P2rx7-/- TEM cells clustered together and separately from WT cells. Among differentially expressed genes we identified cyclin-dependent kinase inhibitor 1A (Cdkn1a), encoding for p21Waf1/Cip1, as a transcript upregulated in WT cells. P21 inhibits progression through G1 to S phase in mammalian cells and it is upregulated in senescent cells. We demonstrated that P2X7 signaling directly upregulated Cdkn1a in TEM cells and this resulted in a block in cell cycle progression. We hypothesize that P2X7 receptor could induce premature senescence in TEM cells. Accordingly, the analysis of β-galactosidase, a marker of senescence, revealed that P2X7 induces an increase in the enzyme activity in TEM cells. Moreover, WT TEM cells stimulated with a pharmacological agonist of P2X7 are characterized by increased in Trp53 and Gadd45b expression as well as p38 MAPK phosphorylation. Moreover, P2X7 stimulation induces an increase in mitochondrial ROS and an increase in histone H2AX phosphorylation as a marker of DNA damage and cellular senescence. The tumor microenvironment is reach in extracellular ATP; we hypothesized this feature could affect TEM cells survival and function. In fact, we show that lack of P2X7 promoted expansion and accumulation of both CD4 and CD8 tumor infiltrating lymphocytes in a melanoma mouse model, thus favoring the control of tumor size. Altogether our results point to P2X7 as a checkpoint for limiting CD4 TEM cells expansion in inflammatory environments that might be targeted to implement cytotoxic T cell responses in cancer immunotherapy.
Styles APA, Harvard, Vancouver, ISO, etc.
41

Hammargren, Jenni. « Novel functions of the mitochondrial nucleoside diphosphate kinase in plants / ». Uppsala : Dept. of Plant Biology and Forest Genetics, Swedish University of Agricultural Sciences, 2007. http://epsilon.slu.se/200787.pdf.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
42

Kennedy, Kathleen Anne. « Assembly of the maltose transport complex of Escherichia coli and the dimerization, localization, and functional domain structure of its ATP-binding subunit, MalK / ». Thesis, Connect to this title online ; UW restricted, 1999. http://hdl.handle.net/1773/11504.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
43

Bojanic, Dragana Dee. « Identification of novel functions for the ATP binding cassette transporters GI and G4 during development and ageing ». Diss., Restricted to subscribing institutions, 2009. http://proquest.umi.com/pqdweb?did=1905636841&sid=1&Fmt=2&clientId=1564&RQT=309&VName=PQD.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
44

Pogoryelov, Denys. « The revolving core of a biological nanomotor : structural and functional investigations on the rotor ensemble of the bacterial F₁F₀ ATP synthase / ». Zürich : ETH, 2007. http://e-collection.ethbib.ethz.ch/show?type=diss&nr=17391.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
45

Takahashi, Akira. « Sulfonylurea and glinide reduce insulin content, functional expression of K[ATP] channels, and accelerate apoptotic β-cell death in the chronic phase ». Kyoto University, 2007. http://hdl.handle.net/2433/135753.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
46

Grabar, Tammy Weng Bohannon. « Contributions of the individual b subunits to the function of the peripheral stalk of F1F0 ATP synthase ». [Gainesville, Fla.] : University of Florida, 2004. http://purl.fcla.edu/fcla/etd/UFE0006623.

Texte intégral
Résumé :
Thesis (Ph. D.)--University of Florida, 2004.
Typescript. Title from title page of source document. Document formatted into pages; contains 258 pages. Includes vita. Includes bibliographical references.
Styles APA, Harvard, Vancouver, ISO, etc.
47

Bhattacharjee, Rahul. « ROLE OF GSK3a IN SPERM FUNCTION AND MALE FERTILITY ». Kent State University / OhioLINK, 2018. http://rave.ohiolink.edu/etdc/view?acc_num=kent1532949151866613.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
48

Hong, Shiyuan. « Expression and Function of ART2.1 ecto-ADP-ribosyltransferase in Inflammatory Effector Cells ». Case Western Reserve University School of Graduate Studies / OhioLINK, 2009. http://rave.ohiolink.edu/etdc/view?acc_num=case1248451388.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
49

Hou, Xiang-Yu. « Exercise performance and mitochondrial function in peripheral arterial disease ». Thesis, Queensland University of Technology, 2002. https://eprints.qut.edu.au/36778/1/36778_Digitised%20Thesis.pdf.

Texte intégral
Résumé :
Peripheral arterial disease (PAD) is an atherosclerotic disease in the peripheral arteries, which reduces blood supply to the lower extremities. Intermittent claudication is the symptom that develops early in PAD patients and is accompanied by the haemodynamic finding of a fall in systolic blood pressure at the ankles following exercise. In PAD patients, exercise performance is not well correlated with haemodynamic measurements and other mechanisms have been suggested to account for the impairment. There have been reports about the impaired mitochondrial metabolism (eg, decreased activities of mitochondrial enzymes) and abnormal mitochondrial structure in the skeletal muscle in PAD patients. It is not known, however, whether mitochondrial ATP production is impaired in the skeletal muscle in PAD. Whether the mitochondrial function is impaired in PAD patients, and whether the impaired mitochondrial function in the muscle contributes to the impaired exercise performance in PAD patients is unknown. The object of this work is to explore the mitochondrial function in the skeletal muscle of PAD patients and its relationship to exercise performance. Impaired exercise performance in PAD patients is evaluated using a treadmill walking performance test, which is closely correlated to patients' daily activity performance. Treadmill walking however, in addition to being influenced by local muscle factors, is influenced by central contributions, such as cardiac output and the central nervous system. As walking is limited by intermittent claudication in PAD patients localized in the legs, it would be valuable to develop a local calf muscle performance test to better understand the underlying pathophysiology in PAD. Such a protocol has not been used previously in experiments involving PAD patients. Hence, the research aim for Study 1 was to establish a calf muscle performance test protocol and to investigate its variability. Fourteen healthy control subjects and eight PAD patients undertook the maximal plantar flexion test once a week for five weeks using a Kin-Com Dynamometer. In the traditional assessment, the total impulse and peak impulse are the variables that were measured as representing the calf muscle performance. Both these variables are significantly lower in PAD patients than in controls. Alternatively, by applying simple mathematical models, the muscle function dimensions of endurance, strength and fatigability can be investigated in a single test. Compared with control subjects the PAD patients had lower muscle endurance, lower muscle strength, higher fatigue index, but no difference were found in magnitude or rate-of-fatigue. The variability of the test was different for different estimated parameters of the models, with the highest variability in muscle fatigability (rate of fatigue, CV=75% in controls) and the lowest variability in muscle strength (CV=16% in controls). The variability of the traditional assessment parameters, which included total impulse and peak impulse, was around 13% in controls and 18- 24% in PAD patients for the five tests. Based on these findings the calf muscle performance test can be applied in PAD patients to investigate different muscle function dimensions. While many of the dimensions were impaired in PAD patients compared with controls, the high variability of some of the parameters have to be considered during its application. Having established a local calf muscle performance test, the aim of Study 2 was to explore the relationship between the calf muscle performance and the traditional treadmill walking performance. Seventeen PAD patients and fourteen control subjects were tested using both the calf muscle performance test described earlier and walking performance test. The walking performance was tested using a graded treadmill protocol. The total walking time was significantly lower in PAD than that in control subjects. No variable of calf muscle performance correlated with walking performance in control subjects. However, in PAD patients, a number of calf muscle performance variables correlated with walking performance. The total impulse and the peak impulse in the best legs (higher ABI) tended to correlate with pain-time. In simple mathematical models, the muscle endurance in the worst legs (lower ABI) correlated positively with pain-walking time, and the muscle fatigue-index in the worst legs correlated negatively with total walking time. In conclusion, in PAD patients, some dimensions of calf muscle performance correlated with walking performance. This suggests that some factors of local calf muscle performance might contribute to the impaired walking performance in PAD patients. The research aim for Study 3 was to investigate a number of calf muscle physiological factors, and to ascertain their relationship with calf muscle and walking performance in PAD patients and control subjects. The physiological factors examined include ankle brachial pressure index (ABI), calf muscle weight, calf blood flow, and skeletal muscle mitochondrial ATP production rate (MAPR) in vitro. The calf muscle weight in PAD patients was significantly lower than that in control subjects. In PAD patients, the calf muscle weight was significantly lower in the worst legs than that in the best legs. The ABI was lower in PAD than in controls and significantly lower in PAD worst legs than in PAD best legs. The leg blood flow (measured by venous-occlusion plethysmography) was lower in PAD than that in controls, but there was no significant difference between PAD best legs and PAD worst legs. The MAPR was measured using different substrate combinations. The MAPR (PM, pyruvate + malate), MAPR (PCM, palmitoyl-carnitine + malate) and MAPR (PPKM, pyruvate + palmi_toyl-carnitine + alpha-ketoglutarate + malate) shows the capacity of mitochondria to produce ATP by oxidising glucose or fatty acids or both of these substrates respectively. The MAPR for the three substrate combinations in PAD patients was no different from controls. The relationship between these physiological measurements and exercise performance differed between PAD and controls. In control subjects, the calf muscle weight, ABI, leg blood flow and MAPR were not significantly correlated with walking performance, but correlated with some variables of local calf muscle performance. In PAD patients, the calf muscle weight, ABI and blood flow did not correlate with walking performance. However, the MAPR (PMkg) was positively correlated with total walking time, and MAPR (PPKMg) was positively correlated with pain-free walking time. In calf muscle performance, in the best legs, the body weight was positively correlated with total impulse and peak impulse; the calf muscle weight was positively correlated with contraction number and peak impulse; and the blood flow correlated with peak impulse. In the worst legs, the calf muscle weight and ABI were not significantly correlated with any variables; the leg blood flow was negatively correlated with contraction number; the mitochondrial protein content correlated with total impulse; the MAPR (PM) tended to correlate with peak impulse. These results suggest the importance of all these local muscle physiological factors in calf muscle performance. However, only MAPR was important in the walking performance in PAD patients. The aim of Study 4 was to further explore the relationship between MAPR and exercise performance in PAD patients after exercise training. The effect of 16 weeks of treadmill exercise training on exercise performance and MAPR was evaluated in five PAD patients. In the treadmill walking performance test, total walking time increases ranged from 100 to 150% in these five patients. The pain-free walking time increased in three patients but did not change in the other two. In the calf muscle performance test, the total impulse and contraction number increased in both legs of four patients and decreased in both legs of one patient, but the magnitude of improvement was less than 5% and the peak impulse did not change in a consistent trend. The changes in body weight, calf muscle weight, and ABI in these five patients were less than 5%. However, the increased blood flow measured by venousocclusion plethysmography in both legs ranged from 100 to 150%. The MAPR by oxidising glucose was significantly higher in trained patients than that in untrained patients, which suggested a possible change in mitochondrial function in response to exercise training. Such change in mitochondrial function may have a potential role in contributing to calf muscle performance and walking performance after exercise training. In summary, for the first time, a local calf muscle performance test has been established to allow better understanding of calf muscle pathophysiology in PAD patients. Using this test, it has been shown that calf muscle performance is significantly impaired in PAD patients compared with control subjects. The impairment is characterised by lower muscle endurance, lower muscle strength and higher fatigability. The impaired local calf muscle performance might contribute to the impaired overall walking performance in PAD patients. The MAPR, especially 5 through oxidising glucose, contributed to walking performance. In this pilot exercise training study, a 20 weeks exercise training program failed to improve the calf muscle performance and walking performance in PAD patients. The higher MAPR in oxidising glucose in trained PAD patients again suggested the importance of muscle glucose oxidation as a contributing factor in the exercise performance in PAD patients.
Styles APA, Harvard, Vancouver, ISO, etc.
50

Burress, Helen. « Modulation of cholera toxin structure and function by host proteins ». Doctoral diss., University of Central Florida, 2014. http://digital.library.ucf.edu/cdm/ref/collection/ETD/id/6251.

Texte intégral
Résumé :
Cholera toxin (CT) moves from the cell surface to the endoplasmic reticulum (ER) where the catalytic CTA1 subunit separates from the holotoxin and unfolds due to its intrinsic thermal instability. Unfolded CTA1 then moves through an ER translocon pore to reach its cytosolic target. Due to the instability of CTA1, it must be actively refolded in the cytosol to achieve the proper conformation for modification of its G protein target. The cytosolic heat shock protein Hsp90 is involved with the ER-to-cytosol translocation of CTA1, yet the mechanistic role of Hsp90 in CTA1 translocation remains unknown. Potential post-translocation roles for Hsp90 in modulating the activity of cytosolic CTA1 are also unknown. Here, we show by isotope-edited Fourier transform infrared (FTIR) spectroscopy that Hsp90 induces a gain-of-structure in disordered CTA1 at physiological temperature. Only the ATP-bound form of Hsp90 interacts with disordered CTA1, and its refolding of CTA1 is dependent upon ATP hydrolysis. In vitro reconstitution of the CTA1 translocation event likewise required ATP hydrolysis by Hsp90. Surface plasmon resonance (SPR) experiments found that Hsp90 does not release CTA1, even after ATP hydrolysis and the return of CTA1 to a folded conformation. The interaction with Hsp90 allowed disordered CTA1 to attain an active state and did not prevent further stimulation of toxin activity by ADP-ribosylation factor 6, a host cofactor for CTA1. This activity is consistent with its role as a chaperone that refolds endogenous cytosolic proteins as part of a foldosome complex consisting of Hsp90, Hop, Hsp40, p23, and Hsc70. A role for Hsc70 in CT intoxication has not yet been established. Here, biophysical, biochemical, and cell-based assays demonstrate Hsp90 and Hsc70 play overlapping roles in the processing of CTA1. Using SPR we determined that Hsp90 and Hsc70 could bind independently to CTA1 at distinct locations with high affinity, even in the absence of the Hop linker. Studies using isotope-edited FTIR spectroscopy found that, like Hsp90, Hsc70 induces a gain-of-structure in unfolded CTA1. The interaction between CTA1 and Hsc70 is essential for intoxication, as an RNAi-induced loss of the Hsc70 protein generates a toxin-resistant phenotype. Further analysis using isotope-edited FTIR spectroscopy demonstrated that the addition of both Hsc70 and Hsp90 to unfolded CTA1 produced a gain-of-structure above that of the individual chaperones. Our data suggest that CTA1 translocation involves a ratchet mechanism which couples the Hsp90-mediated refolding of CTA1 with extraction from the ER. The subsequent binding of Hsc70 further refolds CTA1 in a manner not previously observed in foldosome complex formation. The interaction of CTA1 with these chaperones is essential to intoxication and this work elucidates details of the intoxication process not previously known.
Ph.D.
Doctorate
Molecular Biology and Microbiology
Medicine
Biomedical Sciences
Styles APA, Harvard, Vancouver, ISO, etc.
Nous offrons des réductions sur tous les plans premium pour les auteurs dont les œuvres sont incluses dans des sélections littéraires thématiques. Contactez-nous pour obtenir un code promo unique!

Vers la bibliographie