Littérature scientifique sur le sujet « Functional ATPG »

Créez une référence correcte selon les styles APA, MLA, Chicago, Harvard et plusieurs autres

Choisissez une source :

Consultez les listes thématiques d’articles de revues, de livres, de thèses, de rapports de conférences et d’autres sources académiques sur le sujet « Functional ATPG ».

À côté de chaque source dans la liste de références il y a un bouton « Ajouter à la bibliographie ». Cliquez sur ce bouton, et nous générerons automatiquement la référence bibliographique pour la source choisie selon votre style de citation préféré : APA, MLA, Harvard, Vancouver, Chicago, etc.

Vous pouvez aussi télécharger le texte intégral de la publication scolaire au format pdf et consulter son résumé en ligne lorsque ces informations sont inclues dans les métadonnées.

Articles de revues sur le sujet "Functional ATPG"

1

Ashar, P., et S. Malik. « Functional timing analysis using ATPG ». IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 14, no 8 (1995) : 1025–30. http://dx.doi.org/10.1109/43.402501.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
2

Michael, M., et S. Tragoudas. « ATPG tools for delay faults at the functional level ». ACM Transactions on Design Automation of Electronic Systems 7, no 1 (janvier 2002) : 33–57. http://dx.doi.org/10.1145/504914.504916.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
3

Hobeika, Christelle, Claude Thibeault et Jean-Francois Boland. « Functional Constraint Extraction From Register Transfer Level for ATPG ». IEEE Transactions on Very Large Scale Integration (VLSI) Systems 23, no 2 (février 2015) : 407–12. http://dx.doi.org/10.1109/tvlsi.2014.2309439.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
4

Barriuso-Iglesias, Mónica, Carlos Barreiro, Fabio Flechoso et Juan F. Martín. « Transcriptional analysis of the F0F1 ATPase operon of Corynebacterium glutamicum ATCC 13032 reveals strong induction by alkaline pH ». Microbiology 152, no 1 (1 janvier 2006) : 11–21. http://dx.doi.org/10.1099/mic.0.28383-0.

Texte intégral
Résumé :
Corynebacterium glutamicum, a soil Gram-positive bacterium used for industrial amino acid production, was found to grow optimally at pH 7·0–9·0 when incubated in 5 litre fermenters under pH-controlled conditions. The highest biomass was accumulated at pH 9·0. Growth still occurred at pH 9·5 but at a reduced rate. The expression of the pH-regulated F0F1 ATPase operon (containing the eight genes atpBEFHAGDC) was induced at alkaline pH. A 7·5 kb transcript, corresponding to the eight-gene operon, was optimally expressed at pH 9·0. The same occurred with a 1·2 kb transcript corresponding to the atpB gene. RT-PCR studies confirmed the alkaline pH induction of the F0F1 operon and the existence of the atpI gene. The atpI gene, located upstream of the F0F1 operon, was expressed at a lower level than the polycistronic 7·5 kb mRNA, from a separate promoter (P-atp1). Expression of the major promoter of the F0F1 operon, designated P-atp2, and the P-atp1 promoter was quantified by coupling them to the pET2 promoter-probe vector. Both P-atp1 and P-atp2 were functional in C. glutamicum and Escherichia coli. Primer extension analysis identified one transcription start point inside each of the two promoter regions. The P-atp1 promoter fitted the consensus sequence of promoters recognized by the vegetative σ factor of C. glutamicum, whereas the −35 and −10 boxes of P-atp2 fitted the consensus sequence for σ H-recognized Mycobacterium tuberculosis promoters CC/GGGA/GAC 17–22 nt C/GGTTC/G, known to be involved in expression of heat-shock and other stress-response genes. These results suggest that the F0F1 operon is highly expressed at alkaline pH, probably using a σ H RNA polymerase.
Styles APA, Harvard, Vancouver, ISO, etc.
5

Topisirovic, Dragan. « Advances in VLSI testing at MultiGb per second rates ». Serbian Journal of Electrical Engineering 2, no 1 (2005) : 43–55. http://dx.doi.org/10.2298/sjee0501043t.

Texte intégral
Résumé :
Today's high performance manufacturing of digital systems requires VLSI testing at speeds of multigigabits per second (multiGbps). Testing at Gbps needs high transfer rates among channels and functional units, and requires readdressing of data format and communication within a serial mode. This implies that a physical phenomena-jitter, is becoming very essential to tester operation. This establishes functional and design shift, which in turn dictates a corresponding shift in test and DFT (Design for Testability) methods. We, here, review various approaches and discuss the tradeoffs in testing actual devices. For industry, volume-production stage and testing of multigigahertz have economic challenges. A particular solution based on the conventional ATE (Automated Test Equipment) resources, that will be discussed, allows for accurate testing of ICs with many channels and this systems can test ICs at 2.5 Gbps over 144 cannels, with extensions planned that will have test rates exceeding 5 Gbps. Yield improvement requires understanding failures and identifying potential sources of yield loss. This text focuses on diagnosing of random logic circuits and classifying faults. An interesting scan-based diagnosis flow, which leverages the ATPG (Automatic Test Pattern Generator) patterns originally generated for fault coverage, will be described. This flow shows an adequate link between the design automation tools and the testers, and a correlation between the ATPG patterns and the tester failure reports.
Styles APA, Harvard, Vancouver, ISO, etc.
6

Arekapudi, Srikanth, Fei Xin, Jinzheng Peng et Ian G. Harris. « ATPG for Timing Errors in Globally Asynchronous Locally Synchronous Systems ». Journal of Circuits, Systems and Computers 12, no 03 (juin 2003) : 305–32. http://dx.doi.org/10.1142/s0218126603000775.

Texte intégral
Résumé :
Globally Asynchronous, Locally Synchronous (GALS) systems are now commonplace in many cost-critical and life-critical applications, thus motivating the need for a systematic approach to verify functionality. The complexity of the verification problem for large heterogeneous GALS systems necessitates the development of simulation-based validation approaches to uniformly validate hardware, software, and their interaction. GALS systems are comprised of several processes which may be mapped to different hardware and software components and communicate through asynchronous interfaces. Communication between these processes must be verified to ensure that the system is working correctly. Previous work focuses on checking the correctness of individual processes rather than communication between multiple processes. Timing errors may cause a signal to have an incorrect value for a short time period. Timing errors can cause a problem in GALS systems if the value of a signal with a timing error is used while is has an incorrect value. This paper presents an automatic test pattern generation (ATPG) tool to generate tests for timing-induced functional errors.
Styles APA, Harvard, Vancouver, ISO, etc.
7

Veneris, Andreas, Robert Chang, Magdy S. Abadir et Sep Seyedi. « Functional Fault Equivalence and Diagnostic Test Generation in Combinational Logic Circuits Using Conventional ATPG ». Journal of Electronic Testing 21, no 5 (octobre 2005) : 495–502. http://dx.doi.org/10.1007/s10836-005-1543-z.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
8

Arunachalam, Ravishankar, Ronald DeShawn Blanton et Lawrence T. Pileggi. « Accurate Coupling-centric Timing Analysis Incorporating Temporal and Functional Isolation ». VLSI Design 15, no 3 (1 janvier 2002) : 605–18. http://dx.doi.org/10.1080/1065514021000012228.

Texte intégral
Résumé :
Neighboring line switching can contribute to a large portion of the delay of a line for today's deep submicron designs. The impact of this switching on delay is usually estimated by scaling the coupling capacitances (often by a factor of 2) and modeling them as grounded. This simple approach has been shown to be overly pessimistic in some cases, while somewhat optimistic in others. Apart from the delay modeling inaccuracies, the temporal and functional isolation of the aggressors can contribute to the pessimism. This paper introduces TACO, a timing analysis approach that addresses both these issues. TACO captures the provably worst-and best-case delays as a function of the timing-window inputs to the gates. We then present a comprehensive ATPG-based approach that uses functional information to identify valid interactions between coupled lines. Our algorithm accounts for glitches on aggressors that can be caused by static and dynamic hazards in the circuit. Results on industrial examples and benchmark circuits show the value of our approach.
Styles APA, Harvard, Vancouver, ISO, etc.
9

Lv, Zhao, Shuming Chen et Yaohua Wang. « Simulation-Based Hardware Verification with a Graph-Based Specification ». Mathematical Problems in Engineering 2018 (2018) : 1–10. http://dx.doi.org/10.1155/2018/6398616.

Texte intégral
Résumé :
Simulation-based verification continues to be the primary technique for hardware verification due to its scalability and ease of use; however, it lacks exhaustiveness. Although formal verification techniques can exhaustively prove functional correctness, they are limited in terms of the scale of their design due to the state-explosion problem. Alternatively, semiformal approaches can involve a compromise between scalability, exhaustiveness, and resource costs. Therefore, we propose an event-driven flow graph-based specification, which can describe the cycle-accurate functional behaviors without the exploration of whole state space. To efficiently generate input sequences according to the proposed specification, we introduce a functional automatic test pattern generation (ATPG) approach, which involves the proposed intelligent redundancy-reduction strategy to solve problems of random test vectors. We also proposed functional coverage criterion based on the formal specification to support a more reliable measure of verification. We implement a verification platform based on the proposed semiformal approach and compare the proposed semiformal approach with the constrained randomized test (CRT) approach. The experiment results show that the proposed semiformal verification method ensures a more exhaustive and effective exploration of the functional correctness of designs under verification (DUVs).
Styles APA, Harvard, Vancouver, ISO, etc.
10

Zhao, Zhe, Lauren J. Eberhart, Lisa H. Orfe, Shao-Yeh Lu, Thomas E. Besser et Douglas R. Call. « Genome-Wide Screening Identifies Six Genes That Are Associated with Susceptibility to Escherichia coli Microcin PDI ». Applied and Environmental Microbiology 81, no 20 (24 juillet 2015) : 6953–63. http://dx.doi.org/10.1128/aem.01704-15.

Texte intégral
Résumé :
ABSTRACTThe microcin PDI inhibits a diverse group of pathogenicEscherichia colistrains. Coculture of a single-gene knockout library (BW25113;n= 3,985 mutants) against a microcin PDI-producing strain (E. coli25) identified six mutants that were not susceptible (ΔatpA, ΔatpF, ΔdsbA, ΔdsbB, ΔompF, and ΔompR). Complementation of these genes restored susceptibility in all cases, and the loss of susceptibility was confirmed through independent gene knockouts inE. coliO157:H7 Sakai. Heterologous expression ofE. coliompFconferred susceptibility toSalmonella entericaandYersinia enterocoliticastrains that are normally unaffected by microcin PDI. The expression of chimeric OmpF and site-directed mutagenesis revealed that the K47G48N49region within the first extracellular loop ofE. coliOmpF is a putative binding site for microcin PDI. OmpR is a transcriptional regulator forompF, and consequently loss of susceptibility by the ΔompRstrain most likely is related to this function. Deletion of AtpA and AtpF, as well as AtpE and AtpH (missed in the original library screen), resulted in the loss of susceptibility to microcin PDI and the loss of ATP synthase function. Coculture of a susceptible strain in the presence of an ATP synthase inhibitor resulted in a loss of susceptibility, confirming that a functional ATP synthase complex is required for microcin PDI activity. Intransexpression ofompFin the ΔdsbAand ΔdsbBstrains did not restore a susceptible phenotype, indicating that these proteins are probably involved with the formation of disulfide bonds for OmpF or microcin PDI.
Styles APA, Harvard, Vancouver, ISO, etc.

Thèses sur le sujet "Functional ATPG"

1

Gent, Kelson Andrew. « High Quality Test Generation at the Register Transfer Level ». Diss., Virginia Tech, 2016. http://hdl.handle.net/10919/73544.

Texte intégral
Résumé :
Integrated circuits, from general purpose microprocessors to application specific designs (ASICs), have become ubiquitous in modern technology. As our applications have become more complex, so too have the circuits used to drive them. Moore's law predicts that the number of transistors on a chip doubles every 18-24 months. This explosion in circuit size has also lead to significant growth in testing effort required to verify the design. In order to cope with the required effort, the testing problem must be approached from several different design levels. In particular, exploiting the Register Transfer Level for test generation allows for the use of relational information unavailable at the structural level. This dissertation demonstrates several novel methods for generating tests applicable for both structural and functional tests. These testing methods allow for significantly faster test generation for functional tests as well as providing high levels of fault coverage during structural test, typically outperforming previous state of the art methods. First, a semi-formal method for functional verification is presented. The approach utilizes a SMT-based bounded model checker in combination with an ant colony optimization based search engine to generate tests with high branch coverage. Additionally, the method is utilized to identify unreachable code paths within the RTL. Compared to previous methods, the experimental results show increased levels of coverage and improved performance. Then, an ant colony optimization algorithm is used to generate high quality tests for fault coverage. By utilizing co-simulation at the RTL and gate level, tests are generated for both levels simultaneously. This method is shown to reach previously unseen levels of fault coverage with significantly lower computational effort. Additionally, the engine was also shown to be effective for behavioral level test generation. Next, an abstraction method for functional test generation is presented utilizing program slicing and data mining. The abstraction allows us to generate high quality test vectors that navigate extremely narrow paths in the state space. The method reaches previously unseen levels of coverage and is able to justify very difficult to reach control states within the circuit. Then, a new method of fault grading test vectors is introduced based on the concept of operator coverage. Operator coverage measures the behavioral coverage in each synthesizable statement in the RTL by creating a set of coverage points for each arithmetic and logical operator. The metric shows a strong relationship with fault coverage for coverage forecasting and vector comparison. Additionally, it provides significant reductions in computation time compared to other vector grading methods. Finally, the prior metric is utilized for creating a framework of automatic test pattern generation for defect coverage at the RTL. This framework provides the unique ability to automatically generate high quality test vectors for functional and defect level testing at the RTL without the need for synthesis. In summary, We present a set of tools for the analysis and test of circuits at the RTL. By leveraging information available at HDL, we can generate tests to exercise particular properties that are extremely difficult to extract at the gate level.
Ph. D.
Styles APA, Harvard, Vancouver, ISO, etc.
2

Qiang, Qiang. « FORMAL a sequential ATPG-based bounded model checking system for VLSI circuits / ». online version, 2006. http://rave.ohiolink.edu/etdc/view?acc%5Fnum=case1144614543.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
3

Touati, Aymen. « Amélioration des solutions de test fonctionnel et structurel des circuits intégrés ». Thesis, Montpellier, 2016. http://www.theses.fr/2016MONTT308/document.

Texte intégral
Résumé :
Compte tenu de la complexité des circuits intégrés de nos jours et des nœuds technologiques qui ne cessent pas de diminuer, être au rendez-vous avec les demandes de design, test et fabrication des dispositifs de haute qualité est devenu un des plus grands défis. Avoir des circuits intégrés de plus en plus performants devrait être atteint tout en respectant les contraintes de basse consommation, de niveaux de fiabilité demandés, de taux de défauts acceptables ainsi que du bas coût. Avec ce fascinant progrès de l’industrie des semi-conducteurs, les processus de fabrication sont devenus de plus en plus difficile à contrôler, ce qui rend les puces électroniques de nos jours plus disposés aux défauts physiques. Le test était et restera l’unique solution pour lutter contre l’occurrence des défauts de fabrication ; même il est devenu un facteur prédominant dans le coût totale de fabrication des circuits intégrés. Même si des solutions de test, qui existent déjà, étaient capables de satisfaire ce fameux compromis coût-qualité ces dernières années, il arrive d’observer encore des mécanismes de défauts malheureusement incontrôlables. Certains sont intrinsèquement reliés au processus de fabrication en lui-même. D’autres reviennent sans doute aux pratiques de test et surtout quand on analyse le taux de défauts détectés et le niveau de fiabilité atteint.L’objectif principal de cette thèse est d’implémenter des stratégies de test robustes et efficaces qui répondent aux lacunes des techniques de tests classiques et qui proposent des modèles de fautes plus réalistes et répondent au mieux aux attentes des fournisseurs. Dans l’objectif d’améliorer l’efficacité de test en termes de coût, capacité de couverture de faute, nous présentons divers contributions significatives qui touchent différents domaines entre-autres le test sur le terrain, les tests à hautes fréquences sous contraintes de puissance et finalement le test des chaines de scan.La partie majeure de cette thèse était consacrée pour le développement de nouvelles techniques de tests fonctionnels ciblant les systèmes à processeurs.Les méthodologies appliquées couvrent les problèmes de test sur terrain aussi bien que les problèmes de test de fabrication. Dans le premier cas, la techniques adoptée consiste à fusionner et compacter un ensemble initial de programmes fonctionnels afin d’atteindre une couverture de faute satisfaisante tout en respectant les contraintes du test sur terrain (temps de test réduit et ressource mémoire limitée). Cependant dans le deuxième cas, comme nous avons assez d’informations sur la structure du design, nous proposons un nouveau protocole de test qui va exploiter l’architecture de test existante. Dans ce contexte, nous avons validé et confirmé la relation complémentaire qui joint le test fonctionnel avec le test structurel. D’autres part, cette prometteuse approche assure un test qui respecte les limites de la consommation fonctionnelle et donc une fiabilité meilleure.La dernière contribution de cette thèse accorde toute l’attention à l’amélioration de test de la structure DFT « Design For Test » la plus utilisée qui est la chaîne de scan. Nous présentons dans cette contribution une approche de test qui cible les défauts physiques au sein de la cellule en elle-même.Cette approche représente une couverture de défauts meilleure et une longueur de test plus réduit si nous la comparons avec l’ATPG classique ciblant les mêmes défauts « Intra-cell defect ATPG ».Comme résultat majeur de cette efficace solution de test, nous avons observé une amélioration de 7.22% de couverture de défaut accompagné d’une réduction de 33.5% du temps de test en comparaison avec la couverture et le temps du test atteints par le « Cell-awer ATPG »
In light of the aggressive scaling and increasing complexity of digital circuits, meeting the demands for designing, testing and fabricating high quality devices is extremely challenging.Higher performance of integrated circuits needs to be achieved while respecting the constraints of low power consumption, required reliability levels, acceptable defect rates and low cost. With these advances in the SC industry, the manufacturing process are becoming more and more difficult to control, making chips more prone to defects.Test was and still is the unique solution to cover manufacturing defects; it is becoming a dominant factor in overall manufacturing cost.Even if existing test solutions were able to satisfy the cost-reliability trade-off in the last decade, there are still uncontrolled failure mechanisms. Some of them are intrinsically related to the manufacturing process and some others belong to the test practices especially when we consider the amount of detected defects and achieved reliability.The main goal of this thesis is to implement robust and effective test strategies to complement the existing test techniques and cope with the issues of test practices and fault models. With the objective to further improve the test efficiency in terms of cost and fault coverage capability, we present significant contributions in the diverse areas of in-field test, power-aware at-speed test and finally scan-chain testing.A big part of this thesis was devoted to develop new functional test techniques for processor-based systems. The applied methodologies cover both in-field and end-of manufacturing test issues. In the farmer, the implemented test technique is based on merging and compacting an initial functional program set in order to achieve higher fault coverage while reducing the test time and the memory occupation. However in the latter, since we already have the structure information of the design, we propose to develop a new test scheme by exploiting the existing scan chain. In this case we validate the complementary relationship between functional and structural testing while avoiding over as well under-testing issues.The last contribution of this thesis deals with the test improvement of the most used DFT structure that is the scan chain. We present in this contribution an intra-cell aware testing approach showing higher intra-cell defect coverage and lower test length when compared to conventional cell-aware ATPG. As major results of this effective test solution, we show that an intra-cell defect coverage increase of up to 7.22% and test time decrease of up to 33.5 % can be achieved in comparison with cell-aware ATPG
Styles APA, Harvard, Vancouver, ISO, etc.
4

Guntzel, Jose Luis Almada. « Functional timing analysis of VLSI circuits containing complex gates ». reponame:Biblioteca Digital de Teses e Dissertações da UFRGS, 2000. http://hdl.handle.net/10183/1883.

Texte intégral
Résumé :
Os recentes avanços experimentados pela tecnologia CMOS tem permitido a fabricação de transistores em dimensões submicrônicas, possibilitando a integração de dezenas de milhões de dispositivos numa única pastilha de silício, os quais podem ser usados na implementação de sistemas eletrônicos muito complexos. Este grande aumento na complexidade dos projetos fez surgir uma demanda por ferramentas de verificação eficientes e sobretudo que incorporassem modelos físicos e computacionais mais adequados. A verificação de timing objetiva determinar se as restrições temporais impostas ao projeto podem ou não ser satisfeitas quando de sua fabricação. Ela pode ser levada a cabo por meio de simulação ou por análise de timing. Apesar da simulação oferecer estimativas mais precisas, ela apresenta a desvantagem de ser dependente de estímulos. Assim, para se assegurar que a situação crítica é considerada, é necessário simularem-se todas as possibilidades de padrões de entrada. Obviamente, isto não é factível para os projetos atuais, dada a alta complexidade que os mesmos apresentam. Para contornar este problema, os projetistas devem lançar mão da análise de timing. A análise de timing é uma abordagem independente de vetor de entrada que modela cada bloco combinacional do circuito como um grafo acíclico direto, o qual é utilizado para estimar o atraso do circuito. As primeiras ferramentas de análise de timing utilizavam apenas a topologia do circuito para estimar o atraso, sendo assim referenciadas como analisadores de timing topológicos. Entretanto, tal aproximação pode resultar em estimativas demasiadamente pessimistas, uma vez que os caminhos mais longos do grafo podem não ser capazes de propagar transições, i.e., podem ser falsos. A análise de timing funcional, por sua vez, considera não apenas a topologia do circuito, mas também as relações temporais e funcionais entre seus elementos. As ferramentas de análise de timing funcional podem diferir por três aspectos: o conjunto de condições necessárias para se declarar um caminho como sensibilizável (i.e., o chamado critério de sensibilização), o número de caminhos simultaneamente tratados e o método usado para determinar se as condições de sensibilização são solúveis ou não. Atualmente, as duas classes de soluções mais eficientes testam simultaneamente a sensibilização de conjuntos inteiros de caminhos: uma baseia-se em técnicas de geração automática de padrões de teste (ATPG) enquanto que a outra transforma o problema de análise de timing em um problema de solvabilidade (SAT). Apesar da análise de timing ter sido exaustivamente estudada nos últimos quinze anos, alguns tópicos específicos não têm recebido a devida atenção. Um tal tópico é a aplicabilidade dos algoritmos de análise de timing funcional para circuitos contendo portas complexas. Este constitui o objeto básico desta tese de doutorado. Além deste objetivo, e como condição sine qua non para o desenvolvimento do trabalho, é apresentado um estudo sistemático e detalhado sobre análise de timing funcional.
The recent advances in CMOS technology have allowed for the fabrication of transistors with submicronic dimensions, making possible the integration of tens of millions devices in a single chip that can be used to build very complex electronic systems. Such increase in complexity of designs has originated a need for more efficient verification tools that could incorporate more appropriate physical and computational models. Timing verification targets at determining whether the timing constraints imposed to the design may be satisfied or not. It can be performed by using circuit simulation or by timing analysis. Although simulation tends to furnish the most accurate estimates, it presents the drawback of being stimuli dependent. Hence, in order to ensure that the critical situation is taken into account, one must exercise all possible input patterns. Obviously, this is not possible to accomplish due to the high complexity of current designs. To circumvent this problem, designers must rely on timing analysis. Timing analysis is an input-independent verification approach that models each combinational block of a circuit as a direct acyclic graph, which is used to estimate the critical delay. First timing analysis tools used only the circuit topology information to estimate circuit delay, thus being referred to as topological timing analyzers. However, such method may result in too pessimistic delay estimates, since the longest paths in the graph may not be able to propagate a transition, that is, may be false. Functional timing analysis, in turn, considers not only circuit topology, but also the temporal and functional relations between circuit elements. Functional timing analysis tools may differ by three aspects: the set of sensitization conditions necessary to declare a path as sensitizable (i.e., the so-called path sensitization criterion), the number of paths simultaneously handled and the method used to determine whether sensitization conditions are satisfiable or not. Currently, the two most efficient approaches test the sensitizability of entire sets of paths at a time: one is based on automatic test pattern generation (ATPG) techniques and the other translates the timing analysis problem into a satisfiability (SAT) problem. Although timing analysis has been exhaustively studied in the last fifteen years, some specific topics have not received the required attention yet. One such topic is the applicability of functional timing analysis to circuits containing complex gates. This is the basic concern of this thesis. In addition, and as a necessary step to settle the scenario, a detailed and systematic study on functional timing analysis is also presented.
Styles APA, Harvard, Vancouver, ISO, etc.
5

Karunaratne, Maddumage Don Gamini. « An intelligent function level backward state justification search for ATPG ». Diss., The University of Arizona, 1989. http://hdl.handle.net/10150/184921.

Texte intégral
Résumé :
This dissertation describes an innovative approach to the state justification portion of the sequential circuit automatic test pattern generation (ATPG) process. Given the absence of a stored fault an ATPG controller invokes some combinational circuit test generation procedure, such as the D-algorithm, to identify a circuit state (goal state) and input vectors that will sensitize a selected fault. The state justification phase then finds a transfer sequence to the goal from the present state. A forward fault propogation search can be successfully guided through state space from the present state but the forward justification search is less efficient and the failure rate is high. The backward function level search invokes inverse RTL level primitives and exploits easy movement of data vectors in structured VLSI circuits. Examples illustrated are in AHPL. This search is equally applicable to an RTL level subset of VHDL. Combinational logic units are treated as functions and the circuit states are partitioned into control states and data states. The search proceeds backwards over the control state space starting from the goal state node and data states are transformed according to the control flow. Vectorized data paths in VLSI circuits and search guiding heuristics which favor convenient inverse functions keep the number of search nodes low. Partial covers, conceptually similar to singular covers in D-algorithm, model the inverse functions of combinational logic units. The search successfully terminates when a child state node logically matches the present state and the present state values can satisfy all the constraints encountered along the search path.
Styles APA, Harvard, Vancouver, ISO, etc.
6

Bélanger, Danny. « Heterologous functional interactions of P2X ATP receptors ». Thesis, McGill University, 2004. http://digitool.Library.McGill.CA:80/R/?func=dbin-jump-full&object_id=81596.

Texte intégral
Résumé :
Part I. In this work we show that P2X3 currents are acutely modulated by the GPCRs mGluR5 and P2Y2, and by the neurotrophin TrkA receptor, expressed in nociceptors, in the recombinant Xenopus oocyte system. The intracellular C-terminal domain of P2X 3 plays an important role in its functional coupling to TrkA. Preliminary studies suggest a role for PKC in the P2X3-TrkA cross-talk, but other routes may also contribute. Part II. Neurogenic and pharmacological stimulation of vascular smooth muscle P2X1 elicits a contractile response that we found was potentiated by serotonin acting through 5HT2A. We also found in Xenopus oocytes that P2X 1 currents in the desensitized state are potentiated by M1 ACh receptors and by phorbol ester stimulation of PKC. Part III. We have shown in Boue-Grabot et al. (2003) that there was an intracellular negative cross-talk and physical interaction between P2X2 and 5HT3A receptors. We also found a functional interaction between P2X2 and GABAA alpha2beta 3 receptor subtypes in HEK293 mammalian cells and in Xenopus oocytes; and we confirmed the findings of Sokolova et al. , (2001) in primary cultures of DRG neurons. (Abstract shortened by UMI.)
Styles APA, Harvard, Vancouver, ISO, etc.
7

Lê, Khanh-Tuoc. « Functional and biochemical characterization of central ATP-gated P2x channels ». Thesis, McGill University, 1999. http://digitool.Library.McGill.CA:80/R/?func=dbin-jump-full&object_id=36032.

Texte intégral
Résumé :
Fast purinergic neurotransmission has recently been shown to be mediated through ionotropic P2X receptors activated by extracellular adenosine 5'-triphosphate (ATP). P2X protein mapping within central nervous system (CNS), P2X channel subunit composition pertaining to relevant native receptor phenotypes, and species-specific differences between mammalian P2X orthologs remain to be investigated.
The first manuscript (Le et al., 1998a) reported the regional, cellular, and subcellular localization of P2X4 gene product within adult rat brain and spinal cord structures. P2X4 receptors were shown to be widely expressed on the postsynaptic side throughout the CNS.
The second manuscript (Le et al., 1998b) documented a novel P2X receptor phenotype resulting from the heteropolymerization between major central P2X4 and P2X6 subunits. P2X 4+6 heteromultimeric channel phenotypes were characterized by distinct time-dependent protein expression levels and novel pharmacological profiles compared to P2X4 homo-oligomers.
The third manuscript (Le et al., 1999) was undertaken based upon similar reasoning as well as experimental strategies as the P2X 4+6 study (Le et al., 1998b). The existence of heteromultimeric P2X1+5 receptors were screened with functional as well as biochemical assays demonstrating that this oligomeric complex gave rise to hybrid properties between homopolymeric P2X1 and P2X 5 subunits. Reciprocal co-purifications between interacting P2X 1 and P2X5 subunits were also demonstrated in this study.
The fourth manuscript (Le et al., 1997) reported the molecular cloning of the human ortholog (hP2X5R) of rP2X 5 subunit, which is being the most rare transcript among all reported rat P2X cDNAs to date. hP2X5R subunit was found to be a 422 amino acid-long protein and having 62% homology to rP2X5 receptors.
In an effort to contribute to a better assessment of the physiological roles of fast purinergic synaptic signaling (Le et al., 1998a) mediated likely by native receptors generated by heteromultimerization (Le et al., 1998b; Le et al., 1999) while keeping in mind that species-dependent differences between mammalian P2X orthologs (Le et al., 1997) should be taken into account whenever rodent systems would be used for drug screening studies. (Abstract shortened by UMI.)
Styles APA, Harvard, Vancouver, ISO, etc.
8

Mulligan, Christopher. « Functional characterisation of bacterial tripartite ATP-independent periplasmic (TRAP) transporters ». Thesis, University of York, 2008. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.542833.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
9

VUERICH, Marta. « Extracellular ATP modulates Myeloid Derived Suppressor Cells functions ». Doctoral thesis, Università degli studi di Ferrara, 2014. http://hdl.handle.net/11392/2389384.

Texte intégral
Résumé :
The main obstacle to the success of the immunotherapy is the well established tumor-induced tolerance. In 2007 a new cell population, Myeloid Derived Suppressor Cells (MDSCs), that accumulate under inflammatory conditions, especially in cancer, was identified. These cells are potent inhibitors of tumor immunity and are now considered a major contributor to the failure of the immunotherapy. Understanding the exact mechanism of immunosuppressive activity of MDSC is a crucial point in order to find new ways to improve anticancer therapies. In the last years several models of MDSC functions were described, such as Arg-1 and ROS production or TGF-β release, but other factors may play a role. Two of these additional modulators might be the extracellular ATP and adenosine. In this study I have performed an extensive characterization of purinergic signaling, mainly focused on the P2X7 receptor, in two MDSC cell lines: MSC-1 and MSC-2. I have found that these cells express P2X2, P2X3, P2X5, P2X7, P2Y6, P2Y12 and P2Y13 mRNA and P2X3, P2X4, P2X5 and P2X7 proteins. Stimulation of P2 receptors induced increase in the intracellular calcium concentration, plasma membrane depolarization and permeabilization to the extracellular dyes ethidium bromide and lucifer yellow. These responses were followed by contraction of cell volume and membrane blebbing. Moreover I observed that both cell lines released ATP in the extracellular environment and that stimulation with BzATP induced release of IL-1β. Unexpectedly P2X7R in these cells was uncoupled from cytotoxicity. With regard to the immunosuppressive function, I have found that stimulation of P2X7 receptor induced increase in the production of Arg-1 and ROS, and enhanced the release of TGF-β1. Subsequently, I generated MDSC in vitro from murine bone marrow precursors and I have found that these cells express the P2X3, P2X5, P2X7 and P2Y6 receptors protein. Interestingly, P2 receptors expression is present only after the differentiation of BM in suppressor cells. Furthermore I have found that these cells release ATP and are attracted by extracellular nucleotides. Generation of adenosine from ATP by CD39 and CD73 expressed by endothelium and immune regulatory cells is an established immunosuppressive mechanism. I have found that MSC-2 express CD39 while both ectonucleotidases are detectable in bone marrow-derived MDSC. All these data suggest an involvement of ATP in tumor mediated immune suppression and open a new avenue for the investigation of the role of adenosine in this setting.
Styles APA, Harvard, Vancouver, ISO, etc.
10

Morrison, Matthew Sam. « Osteoclast function : role of extracellular pH and ATP ». Thesis, University College London (University of London), 1999. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.369218.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.

Livres sur le sujet "Functional ATPG"

1

Marzuki, Sangkot, dir. Molecular Structure, Function, and Assembly of the ATP Synthases. Boston, MA : Springer US, 1990. http://dx.doi.org/10.1007/978-1-4613-0593-4.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
2

International, Seminar/Workshop on the Molecular Structure Function and Assembly of ATP Synthases (1987 Honolulu Hawaii). Molecular structure, function, and assembly of the ATP synthases. New York : Plenum Press, 1989.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
3

Gerasimovskaya, Evgenia, et Elzbieta Kaczmarek, dir. Extracellular ATP and Adenosine as Regulators of Endothelial Cell Function. Dordrecht : Springer Netherlands, 2010. http://dx.doi.org/10.1007/978-90-481-3435-9.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
4

Gerasimovskaya, Evgenia, et Elzbieta Kaczmarek. Extracellular ATP and adenosine as regulators of endothelial cell function : Implications for health and disease. Dordrecht : Springer, 2010.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
5

Chang, Robert Ching Wei. Functional fault equivalence and automated diagnositc test generation using conventional ATPG. 2005, 2005.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
6

Rotation of the c subunit oligomer in fully functional F1F0 ATP synthase. Washington, D.C : National Academy of Sciences of the United States of America8c2001., 2001.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
7

Molecular Structure, Function, and Assembly of the ATP Synthases : International Seminar. Springer, 2011.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
8

Marzuki, Sangkot. Molecular Structure, Function, and Assembly of the Atp Synthesis : International Seminar. Springer, 1990.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
9

Marzuki, Sangkot. Molecular Structure, Function, and Assembly of the ATP Synthases : International Seminar. Springer London, Limited, 2012.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
10

Marzuki, Sangkot. Molecular Structure, Function, and Assembly of the ATP Synthases : International Seminar. Springer, 2011.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.

Chapitres de livres sur le sujet "Functional ATPG"

1

Pavelka, Margit, et Jürgen Roth. « Golgi Apparatus Changes Upon ATP-Depletion and ATP-Replenishment ». Dans Functional Ultrastructure, 84–85. Vienna : Springer Vienna, 2010. http://dx.doi.org/10.1007/978-3-211-99390-3_44.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
2

Repke, Kurt R. H. « Reinstatement of the ATP high energy paradigm ». Dans Biochemical Mechanisms in Heart Function, 95–99. Boston, MA : Springer US, 1996. http://dx.doi.org/10.1007/978-1-4613-1279-6_13.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
3

Sobti, Meghna, Robert Ishmukhametov et Alastair G. Stewart. « ATP Synthase : Expression, Purification, and Function ». Dans Methods in Molecular Biology, 73–84. New York, NY : Springer US, 2019. http://dx.doi.org/10.1007/978-1-4939-9869-2_5.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
4

Moreno-Sánchez, Rafael, M. Teresa Espinosa-García et J. Carlos Raya. « Control of Respiration and ATP Hydrolysis in Uncoupled Mitochondria ». Dans Integration of Mitochondrial Function, 297–304. Boston, MA : Springer US, 1988. http://dx.doi.org/10.1007/978-1-4899-2551-0_26.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
5

Didehvar, F., et D. A. Baker. « ATP-ase localization in the phloem of the Ricinus root ». Dans Structural and Functional Aspects of Transport in Roots, 89–91. Dordrecht : Springer Netherlands, 1989. http://dx.doi.org/10.1007/978-94-009-0891-8_17.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
6

Guerrieri, Ferruccio, Jan Kopecky et Franco Zanotti. « Functional and Immunological Characterization of Mitochondrial F0F1 ATP-Synthase ». Dans Organelles in Eukaryotic Cells, 197–208. Boston, MA : Springer US, 1989. http://dx.doi.org/10.1007/978-1-4613-0545-3_14.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
7

Evans, Richard J. « P2X Receptors for ATP : Molecular Properties and Functional Roles ». Dans Encyclopedia of Biophysics, 1819–24. Berlin, Heidelberg : Springer Berlin Heidelberg, 2013. http://dx.doi.org/10.1007/978-3-642-16712-6_362.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
8

Mayer, Florian, et Volker Müller. « ATP Synthases from Archaea : Structure and Function ». Dans Encyclopedia of Biophysics, 122–29. Berlin, Heidelberg : Springer Berlin Heidelberg, 2013. http://dx.doi.org/10.1007/978-3-642-16712-6_204.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
9

Rottenberg, Hagai, Todd P. Silverstein, Ken Hashimoto et Sonia Steiner-Mordoch. « ATP Synthesis Driven by Intramembranal Protons ». Dans Molecular Structure, Function, and Assembly of the ATP Synthases, 195–203. Boston, MA : Springer US, 1989. http://dx.doi.org/10.1007/978-1-4613-0593-4_20.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
10

Kagawa, Yasuo, Shigeo Ohta, Masafumi Yohda, Hajime Hirata, Toshiro Hamamoto et Kakuko Matsuda. « Gene Structure and Function of Thermophilic ATP Synthase ». Dans Molecular Structure, Function, and Assembly of the ATP Synthases, 3–8. Boston, MA : Springer US, 1989. http://dx.doi.org/10.1007/978-1-4613-0593-4_1.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.

Actes de conférences sur le sujet "Functional ATPG"

1

Fummi, Franco, Cristina Marconcini et Graziano Pravadelli. « An EFSM-based approach for functional ATPG ». Dans the 15th ACM Great Lakes symposium. New York, New York, USA : ACM Press, 2005. http://dx.doi.org/10.1145/1057661.1057709.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
2

Fummi, Franco, Cristina Marconcini, Graziano Pravadelli et Ian G. Harris. « A CLP-Based Functional ATPG for Extended FSMs ». Dans 2007 IEEE International Workshop on Microprocessor Test and Verification (MTV). IEEE, 2007. http://dx.doi.org/10.1109/mtv.2007.18.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
3

Bresolin, Davide, Giuseppe Di Guglielmo, Franco Fummi, Graziano Pravadelli et Tiziano Villa. « The impact of EFSM composition on functional ATPG ». Dans 2009 12th International Symposium on Design and Diagnostics of Electronic Circuits & Systems. IEEE, 2009. http://dx.doi.org/10.1109/ddecs.2009.5012097.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
4

Di Guglielmo, G., F. Fummi, C. Marconcini et G. Pravadelli. « A Pseudo-Deterministic Functional ATPG based on EFSM Traversing ». Dans 2005 6th International Workshop on Microprocessor Test and Verification. IEEE, 2005. http://dx.doi.org/10.1109/mtv.2005.1.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
5

Tragoudas, S., et M. Michael. « ATPG tools for delay faults at the functional level ». Dans the conference. New York, New York, USA : ACM Press, 1999. http://dx.doi.org/10.1145/307418.307578.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
6

Wang, Naixing, Bo Yao, Xijiang Lin et Irith Pomeranz. « Functional Broadside Test Generation Using a Commercial ATPG Tool ». Dans 2017 IEEE Computer Society Annual Symposium on VLSI (ISVLSI). IEEE, 2017. http://dx.doi.org/10.1109/isvlsi.2017.61.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
7

Obien, Marie Engelene J., Satoshi Ohtake et Hideo Fujiwara. « Constrained ATPG for functional RTL circuits using F-Scan ». Dans 2010 IEEE International Test Conference (ITC). IEEE, 2010. http://dx.doi.org/10.1109/test.2010.5699265.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
8

Di Guglielmo, Giuseppe. « On the validation of embedded systems through functional ATPG ». Dans 2008 Ph.D. Research in Microelectronics and Electronics (PRIME). IEEE, 2008. http://dx.doi.org/10.1109/rme.2008.4595747.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
9

Chepurov, A., G. Di Guglielmo, F. Fummi, G. Pravadelli, J. Raik, R. Ubar et T. Viilukas. « Automatic generation of EFSMs and HLDDs for functional ATPG ». Dans 2008 International Biennial Baltic Electronics Conference (BEC2008). IEEE, 2008. http://dx.doi.org/10.1109/bec.2008.4657499.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
10

Simkova, M., Z. Kotasek et C. Bolchini. « Analysis and comparison of functional verification and ATPG for testing design reliability ». Dans 2013 IEEE 16th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS). IEEE, 2013. http://dx.doi.org/10.1109/ddecs.2013.6549833.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.

Rapports d'organisations sur le sujet "Functional ATPG"

1

Montville, Thomas J., et Roni Shapira. Molecular Engineering of Pediocin A to Establish Structure/Function Relationships for Mechanistic Control of Foodborne Pathogens. United States Department of Agriculture, août 1993. http://dx.doi.org/10.32747/1993.7568088.bard.

Texte intégral
Résumé :
This project relates the structure of the bacteriocin molecule (which is genetically determined) to its antimicrobial function. We have sequenced the 19,542 bp pediocin plasmid pMD136 and developed a genetic transfer system for pediococci. The pediocin A operon is complex, containing putative structural, immunity, processing, and transport genes. The deduced sequence of the pediocin A molecule contains 44 amino acids and has a predicted PI of 9.45. Mechanistic studies compared the interaction of pediocin PA-1 and nisin with Listeria monocytgenes cells and model lipid systems. While significant nisin-induced intracellular ATP depletion is caused by efflux, pediocin-induced depletion is caused exclusively by hydrolysis. Liposomes derived from L. monocytogenes phospholipids were used to study the physical chemistry of pediocin and nisin interactions with lipids. Their different pH optima are the results of different specific ionizable amino acids. We generated a predicted 3-D structural model for pediocin PA-1 and used a variety of mutant pediocins to demonstrate that the "positive patch" at residues 11 and 12 (and not the YGNGV consensus sequence) is responsible for the binding step of pediocin action. This structure/function understanding gained here provides necessary prerequisites to the more efficacious use of bacteriocins to control foodborne pathogens.
Styles APA, Harvard, Vancouver, ISO, etc.
2

Sessa, Guido, et Gregory Martin. A functional genomics approach to dissect resistance of tomato to bacterial spot disease. United States Department of Agriculture, janvier 2004. http://dx.doi.org/10.32747/2004.7695876.bard.

Texte intégral
Résumé :
The research problem. Bacterial spot disease in tomato is of great economic importance worldwide and it is particularly severe in warm and moist areas affecting yield and quality of tomato fruits. Causal agent of spot disease is the Gram-negative bacterium Xanthomonas campestris pv. vesicatoria (Xcv), which can be a contaminant on tomato seeds, or survive in plant debris and in association with certain weeds. Despite the economic significance of spot disease, plant protection against Xcvby cultural practices and chemical control have so far proven unsuccessful. In addition, breeding for resistance to bacterial spot in tomato has been undermined by the genetic complexity of the available sources of resistance and by the multiple races of the pathogen. Genetic resistance to specific Xcvraces have been identified in tomato lines that develop a hypersensitive response and additional defense responses upon bacterial challenge. Central goals of this research were: 1. To identify plant genes involved in signaling and defense responses that result in the onset of resistance. 2. To characterize molecular properties and mode of action of bacterial proteins, which function as avirulence or virulence factors during the interaction between Xcvand resistant or susceptible tomato plants, respectively. Our main achievements during this research program are in three major areas: 1. Identification of differentially expressed genes during the resistance response of tomato to Xcvrace T3. A combination of suppression subtractive hybridization and microarray analysis identified a large set of tomato genes that are induced or repressed during the response of resistant plants to avirulent XcvT3 bacteria. These genes were grouped in clusters based on coordinate expression kinetics, and classified into over 20 functional classes. Among them we identified genes that are directly modulated by expression of the type III effector protein AvrXv3 and genes that are induced also during the tomato resistance response to Pseudomonas syringae pv. tomato. 2. Characterization of molecular and biochemical properties of the tomato LeMPK3MAP kinase. A detailed molecular and biochemical analysis was performed for LeMPK3 MAP kinase, which was among the genes induced by XcvT3 in resistant tomato plants. LeMPK3 was induced at the mRNA level by different pathogens, elicitors, and wounding, but not by defense-related plant hormones. Moreover, an induction of LeMPK3 kinase activity was observed in resistant tomato plants upon Xcvinfection. LeMPK3 was biochemically defined as a dual-specificity MAP kinase, and extensively characterized in vitro in terms of kinase activity, sites and mechanism of autophosphorylation, divalent cation preference, Kₘand Vₘₐₓ values for ATP. 3. Characteriztion of molecular properties of the Xcveffector protein AvrRxv. The avirulence gene avrRxvis involved in the genetic interaction that determines tomato resistance to Xcvrace T1. We found that AvrRxv functions inside the plant cell, localizes to the cytoplasm, and is sufficient to confer avirulence to virulent Xcvstrains. In addition, we showed that the AvrRxv cysteine protease catalytic core is essential for host recognition. Finally, insights into cellular processes activated by AvrRxv expression in resistant plants were obtained by microarray analysis of 8,600 tomato genes. Scientific and agricultural significance: The findings of these activities depict a comprehensive and detailed picture of cellular processes taking place during the onset of tomato resistance to Xcv. In this research, a large pool of genes, which may be involved in the control and execution of plant defense responses, was identified and the stage is set for the dissection of signaling pathways specifically triggered by Xcv.
Styles APA, Harvard, Vancouver, ISO, etc.
3

Sessa, Guido, et Gregory Martin. MAP kinase cascades activated by SlMAPKKKε and their involvement in tomato resistance to bacterial pathogens. United States Department of Agriculture, janvier 2012. http://dx.doi.org/10.32747/2012.7699834.bard.

Texte intégral
Résumé :
The research problem: Pseudomonas syringae pv. tomato (Pst) and Xanthomonas campestrispv. vesicatoria (Xcv) are the causal agents of tomato bacterial speck and spot diseases, respectively. These pathogens colonize the aerial parts of the plant and cause economically important losses to tomato yield worldwide. Control of speck and spot diseases by cultural practices or chemicals is not effective and genetic sources of resistance are very limited. In previous research supported by BARD, by gene expression profiling we identified signaling components involved in resistance to Xcvstrains. Follow up experiments revealed that a tomato gene encoding a MAP kinase kinase kinase (MAPKKKe) is required for resistance to Xcvand Pststrains. Goals: Central goal of this research was to investigate the molecular mechanisms by which MAPKKKεand associated MAP kinase cascades regulate host resistance. Specific objectives were to: 1. Determine whether MAPKKKεplays a broad role in defense signaling in plants; 2. Identify components of MAP kinase cascades acting downstream of MAPKKKε; 3. Determine the role of phosphorylation-related events in the function of MAPKKKε; 4. Isolate proteins directly activated by MAPKKKε-associatedMAPK modules. Our main achievements during this research program are in the following major areas: 1. Characterization of MAPKKKεas a positive regulator of cell death and dissection of downstream MAP kinase cascades (Melech-Bonfil et al., 2010; Melech-Bonfil and Sessa, 2011). The MAPKKKεgene was found to be required for tomato resistance to Xcvand Pstbacterial strains and for hypersensitive response cell death triggered by different R gene/effector gene pairs. In addition, overexpression analysis demonstrated that MAPKKKεis a positive regulator of cell death, whose activity depends on an intact kinase catalytic domain. Epistatic experiments delineated a signaling cascade downstream of MAPKKKεand identified SIPKK as a negative regulator of MAPKKKε-mediated cell death. Finally, genes encoding MAP kinase components downstream of MAPKKKεwere shown to contribute to tomato resistance to Xcv. 2. Identification of tomato proteins that interact with MAPKKKεand play a role in plant immunity (Oh et al., 2011). We identified proteins that interact with MAPKKKε. Among them, the 14-3-3 protein TFT7 was required for cell death mediated by several R proteins. In addition, TFT7 interacted with the MAPKK SlMKK2 and formed homodimersin vivo. Thus, TFT7 is proposed to recruit SlMKK2 and MAPKKK client proteins for efficient signal transfer. 3. Development of a chemical genetic approach to identify substrates of MAPKKKε-activated MAP kinase cascades (Salomon et al., 2009, 2011). This approach is based on engineering the kinase of interest to accept unnatural ATP analogs. For its implementation to identify substrates of MAPKKKε-activated MAP kinase modules, we sensitized the tomato MAP kinase SlMPK3 to ATP analogs and verified its ability to use them as phosphodonors. By using the sensitized SlMPK3 and radiolabeled N6(benzyl)ATP it should be possible to tag direct substrates of this kinase. 4. Development of methods to study immunity triggered by pathogen-associated molecular patterns (PAMPs) in tomato and N. benthamiana plants (Kim et al., 2009; Nguyen et al. 2010). We developed protocols for measuring various PTI-associatedphenotypes, including bacterial populations after pretreatment of leaves with PAMPs, induction of reporter genes, callose deposition at the cell wall, activation of MAP kinases, and a luciferase-based reporter system for use in protoplasts. Scientific and agricultural significance: Our research activities discovered and characterized a signal transduction pathway mediating plant immunity to bacterial pathogens. Increased understanding of molecular mechanisms of immunity will allow them to be manipulated by both molecular breeding and genetic engineering to produce plants with enhanced natural defense against disease. In addition, we successfully developed new biochemical and molecular methods that can be implemented in the study of plant immunity and other aspects of plant biology.
Styles APA, Harvard, Vancouver, ISO, etc.
4

Sessa, Guido, et Gregory Martin. role of FLS3 and BSK830 in pattern-triggered immunity in tomato. United States Department of Agriculture, janvier 2016. http://dx.doi.org/10.32747/2016.7604270.bard.

Texte intégral
Résumé :
Pattern-recognition receptors (PRRs) located on the plant cell surface initiate immune responses by perceiving conserved pathogen molecules known as pathogen-associated molecular patterns (PAMPs). PRRs typically function in multiprotein complexes that include transmembrane and cytoplasmickinases and contribute to the initiation and signaling of pattern-triggered immunity (PTI). An important challenge is to identify molecular components of PRR complexes and downstream signaling pathways, and to understand the molecular mechanisms that mediate their function. In research activities supported by BARD-4931, we studied the role of the FLAGELLIN SENSING 3 (FLS3) PRR in the response of tomato leaves to flagellin-derivedPAMPs and PTI. In addition, we investigated molecular properties of the tomato brassinosteroid signaling kinase 830 (BSK830) that physically interacts with FLS3 and is a candidate for acting in the FLS3 signaling pathway. Our investigation refers to the proposal original objectives that were to: 1) Investigate the role of FLS3 and its interacting proteins in PTI; 2) Investigate the role of BSK830 in PTI; 3) Examine molecular and phosphorylation dynamics of the FLS3-BSK830 interaction; 4) Examine the possible interaction of FLS3 and BSK830 with Pstand Xcveffectors. We used CRISPR/Cas9 techniques to develop plants carrying single or combined mutations in the FLS3 gene and in the paralogsFLS2.1 and FLS2.2 genes, which encode the receptor FLAGELLIN SENSING2 (FLS2), and analyzed their function in PTI. Domain swapping analysis of the FLS2 and FLS3 receptors revealed domains of the proteins responsible for PAMP detection and for the different ROS response initiated by flgII-28/FLS3 as compared to flg22/FLS2. In addition, in vitro kinase assays and point mutations analysis identified FLS2 and FLS3 domains required for kinase activity and ATP binding. In research activities on tomato BSK830, we found that it interacts with PRRs and with the co-receptor SERK3A and PAMP treatment affects part of these interactions. CRISPR/Cas9 bsk830 mutant plants displayed enhanced pathogen susceptibility and reduced ROS production upon PAMP treatment. In addition, BSK830 interacted with 8 Xanthomonastype III secreted effectors. Follow up analysis revealed that among these effectors XopAE is part of an operon, is translocated into plant cells, and displays E3 ubiquitinligase activity. Our investigation was also extended to other Arabidopsis and tomato BSK family members. Arabidopsis BSK5 localized to the plant cell periphery, interacted with receptor-like kinases, and it was phosphorylatedin vitro by the PEPR1 and EFRPRRs. bsk5 mutant plants displayed enhanced susceptibility to pathogens and were impaired in several, but not all, PAMP-induced responses. Conversely, BSK5 overexpression conferred enhanced disease resistance and caused stronger PTI responses. Genetic complementation suggested that proper localization, kinase activity, and phosphorylation by PRRs are critical for BSK5 function. BSK7 and BSK8 specifically interacted with the FLS2 PRR, their respective mutant plants were more susceptible to B. cinereaand displayed reduced flg22-induced responses. The tomato BSK Mai1 was found to interact with the M3KMAPKKK, which is involved in activation of cell death associated with effector-triggered immunity. Silencing of Mai1 in N. benthamianaplants compromised cell death induced by a specific class of immune receptors. In addition, co-expression of Mai1 and M3Kin leaves enhanced MAPKphosphorylation and cell death, suggesting that Mai1 acts as a molecular link between pathogen recognition and MAPK signaling. Finally, We identified the PP2C phosphatase Pic1 that acts as a negative regulator of PTI by interacting with and dephosphorylating the receptor-like cytoplasmickinase Pti1, which is a positive regulator of plant immunity. The results of this investigation shed new light on the molecular characteristics and interactions of components of the immune system of crop plants providing new knowledge and tools for development of novel strategies for disease control.
Styles APA, Harvard, Vancouver, ISO, etc.
Nous offrons des réductions sur tous les plans premium pour les auteurs dont les œuvres sont incluses dans des sélections littéraires thématiques. Contactez-nous pour obtenir un code promo unique!

Vers la bibliographie