Littérature scientifique sur le sujet « Epoxy Mold Compound »

Créez une référence correcte selon les styles APA, MLA, Chicago, Harvard et plusieurs autres

Choisissez une source :

Consultez les listes thématiques d’articles de revues, de livres, de thèses, de rapports de conférences et d’autres sources académiques sur le sujet « Epoxy Mold Compound ».

À côté de chaque source dans la liste de références il y a un bouton « Ajouter à la bibliographie ». Cliquez sur ce bouton, et nous générerons automatiquement la référence bibliographique pour la source choisie selon votre style de citation préféré : APA, MLA, Harvard, Vancouver, Chicago, etc.

Vous pouvez aussi télécharger le texte intégral de la publication scolaire au format pdf et consulter son résumé en ligne lorsque ces informations sont inclues dans les métadonnées.

Articles de revues sur le sujet "Epoxy Mold Compound"

1

Kumari, Pinki, Kuldeep Singh et Anuj Singal. « Reducing the Hygroscopic Swelling in MEMS Sensor using Different Mold Materials ». International Journal of Electrical and Computer Engineering (IJECE) 10, no 1 (1 février 2020) : 494. http://dx.doi.org/10.11591/ijece.v10i1.pp494-499.

Texte intégral
Résumé :
Today, Hygroscopic swelling is one of the biggest challenging problem of Epoxy mold compound (EMC) in packaging with Microelectromechanical system (MEMS) devices. To overcome this hygroscopic swelling problem of EMC and guard the devices, MEMS devices are molded in this paper with different Mold Compound (MC) i.e. titanium and ceramic etc. during their interconnection with the board. Also, a comparatively performance analysis of this various mold compound with MEMS pressure sensor has been studied in this paper at 60% humidity, 140 mol/m<sup>3</sup> saturation concentration and 25 <sup>o</sup>C. It was observed that hygroscopic swelling does not take place in the titanium mold compound. But, titanium is very costly so we have to consider something cheaper material i.e. ceramic in this paper. The Hygroscopic swelling in Ceramic Mold Compound after 1 year is nearly 0.05mm which is very less than epoxy.
Styles APA, Harvard, Vancouver, ISO, etc.
2

Lakhera, Nishant, Sandeep Shantaram et AR Nazmus Sakib. « Adhesion Characteristics of Epoxy Molding Compound and Copper Leadframe Interface : Impact of Environmental Reliability Stresses ». International Symposium on Microelectronics 2017, no 1 (1 octobre 2017) : 000304–11. http://dx.doi.org/10.4071/isom-2017-wa53_009.

Texte intégral
Résumé :
Abstract Delamination at the mold compound and leadframe interface is the most common failure mode observed during processing and qualification of the microelectronic package. Mold compound to leadframe delamination is typically observed after environmental reliability stresses like: moisture preconditioning and reflow, air-to-air thermal cycling, biased highly accelerated stress etc. Leadframe based packages constitutes majority of microelectronic packages that are manufactured today, which makes mold compound/leadframe interface of great interest requiring thorough understanding of the adhesion characteristics and its evolution as a function of reliability stresses. This study used four-point bend testing to evaluate the adhesion strength of commercially available mold compound to bare copper and silver plated copper leadframes exposed to automotive grade environmental stresses. Results show that adhesion of mold compound to silver plated leadframes is significantly lower than adhesion to bare copper leadframes. Results obtained from this study can be used to carefully select the qualification reliability stresses to prevent overstressing the package and causing failures related to wire bond cracking, delamination etc., which have significant time and cost implications. Finite element simulations were also performed to validate the empirical adhesion test results and can be extended to full package level models to enable delamination prediction.
Styles APA, Harvard, Vancouver, ISO, etc.
3

Deringer, Tim, et Dietmar Drummer. « The influence of mold temperature on thermoset in-mold forming ». Journal of Polymer Engineering 40, no 3 (25 février 2020) : 256–66. http://dx.doi.org/10.1515/polyeng-2019-0322.

Texte intégral
Résumé :
AbstractA new process, called thermoset in-mold forming, for combining thermoset master forming and thermoset forming in one mold is in development. A pre-impregnated continuous-fiber reinforced sheet based on epoxy (prepreg) is formed in the injection molding machine, followed by instantaneous overmolding of a short-fiber reinforced epoxy compound in one step. Compared with conventional processes in which thermoset injection molding, prepreg compression molding, and hence curing of the materials are separated, the new process allows for the combination in one step and simultaneous curing of both components. The result is a hybrid component, which features a continuous-fiber reinforced part for higher mechanical performance and a short-fiber reinforced part with high design freedom for integration of additional functions. For a successful combination of both materials in one process, it is essential to investigate the bond strength between them in relation to the processing parameters and their influence on the degree of cure. This paper analyzes the influence of the mold temperature in this process on curing degree, bond strength, and the processing viscosity.
Styles APA, Harvard, Vancouver, ISO, etc.
4

Sulong, Abu Bakar, Gan Tek Keong et Jaafar Sahari. « Effects of Molding Parameters and Addition of Fillers on Gate Chip Off Formation during the Degating Process in Transfer Molding ». Key Engineering Materials 447-448 (septembre 2010) : 790–94. http://dx.doi.org/10.4028/www.scientific.net/kem.447-448.790.

Texte intégral
Résumé :
In the electronics packaging industry, Epoxy Mold Compound (EMC) polymer matrix is filled with fused silica (SiO2) to obtain the required roughness and hardness. Gate chip off, which occurs during the degating process in transfer molding is one of the common defects which contributes to the failure in the proper functioning of the package. During the degating process, surface contact between two solid bodies (degating blade and gate) generate a high shear stress on package, thus creating a high potential for the incidence of gate chip of. In this study, the influence of transfer molding parameters and size of fillers (normal and fine) on the gate chip off were investigated. The application of Design of Experiments (DOE) using regression model and Analysis of Variance (ANOVA) showed that molding parameters do not significantly influence the incidence of gate chip off. Numerical simulation was used to investigate effect of two filler sizes and molding parameters on the mold fill time, end pressure of fill and shear stress at the wall. The results showed there were no significant influences on mold fill time but the fine filler showed lower pressure at the end of fill and lower shear stress at the wall. Experimental results of two sizes if filler shown that fine filler mold compound exhibited in reduction of gate chip off formation, compared to normal filler mold compound. Smooth surface of fine mold compound lead to decrease of friction shear stress during degating is expected contribute to this finding.
Styles APA, Harvard, Vancouver, ISO, etc.
5

Chen, Hwe-Zhong, Wen-Hung Lee, Huei-Huang Lee, Durn-Yuan Huang, Shyang-Jye Chang et Sheng-Jye Hwang. « Effects of defrosting period on mold adhesion force of epoxy molding compound ». Asia-Pacific Journal of Chemical Engineering 4, no 2 (mars 2009) : 161–68. http://dx.doi.org/10.1002/apj.186.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
6

Brueckner, Julia, Michael Schwander, Moritz Jurgschat et Ramon Tuason. « Effective Inspection Methods for Advanced Packaging Technologies ». International Symposium on Microelectronics 2017, no 1 (1 octobre 2017) : 000563–68. http://dx.doi.org/10.4071/isom-2017-tha32_055.

Texte intégral
Résumé :
Abstract Advanced packaging technologies have ramped up to provide solutions for new high density devices that are based on the integration of several components with different functions. The need for thin packages with memory, APU, modem and analog all packed in one requires each individual layer thickness to have exacting specifications. In this work we concentrate on the challenging task of measuring epoxy mold compound (EMC) thickness distribution across the wafer. We will present how an optical sensor based on spectral coherence interferometry (SCI) can be utilized to collect critical measurements related to mold thickness, uniformity and height information between bumps and the mold surface. SCI provides an optical, non-destructive, high-throughput solution to measure mold thickness and surface warpage simultaneously. This is done by utilizing a sensor with high numerical aperture (NA) which enables one to measure layers and surfaces of mold materials despite the usual measurement constraints introduced from high roughness values, high internal scattering, and severe wafer warpage. By adding a bottom sensor in a so called twin-configuration, further simultaneous data collection is possible.
Styles APA, Harvard, Vancouver, ISO, etc.
7

Hsu, Hsiang-Chen, Shih-Jeh Wu, Wen-Fei Lin et Boen Houng. « Reliability Design and Optimization Process on through Mold via using Ultrafast Laser ». Polymers and Polymer Composites 26, no 1 (janvier 2018) : 1–8. http://dx.doi.org/10.1177/096739111802600101.

Texte intégral
Résumé :
In this study, a through mold via (TMV) process using ultrafast Laser has been developed for the interconnection on 3D package-on-package (PoP) application. Epoxy molding compound (EMC) is a composite material consisted of epoxy resin, filler particles (fused silica) and other constituents. Among these chemical compositions, the size and spatial distribution of fillers on the EMC dominate the sidewall quality on drilled trench. However, random filler leakage on the sidewall leads to an irregular and uneven trench and these drop out fillers become a problem needed to be solved. Faster removal of debris can be achieved by controlling the laser drilling parameters. Parametric studies have been performed to determine the specification of trench and design rule of material. The optimal desmear process on TMV bottom PoP package developed in this study demonstrates the reliability improvement on follow-up sputtering or filling process.
Styles APA, Harvard, Vancouver, ISO, etc.
8

Lakhera, Nishant, Tom Battle, Sheila Chopin, Sandeep Shantaram et Akhilesh K. Singh. « Technique to predict reliability failure in side-gate transfer molded packages ». International Symposium on Microelectronics 2015, no 1 (1 octobre 2015) : 000696–701. http://dx.doi.org/10.4071/isom-2015-tha61.

Texte intégral
Résumé :
Scanning acoustic microscopy (SAM) is the primary method to non-destructively detect internal defects in finished semiconductor packages. SAM is heavily used to detect interfacial delamination in the die-package system. Though this method can achieve an acceptable resolution to analyze the quality of the package unit, it is not absolute in all cases. SAM is also time consuming and non-predictable, as it offers a latent response of the finished good to the reliability tests. This paper presents an analytical prediction method defined to gauge whether the epoxy mold compound (EMC) encapsulating a no polyimide (PI) die surface would yield detectable delamination at the die to mold compound interface in the package finished good. The new method can be used to gauge whether top of die to EMC delamination will occur with a change in new wire bond (WB) die layout, new EMC material and new mold process. This new method also provides a way to demonstrate that the observed delamination is not detrimental to package reliability. This method will demonstrate how the no-PI package reliability can be met to not cause electrical failures based on the resin rich (RR) volume.
Styles APA, Harvard, Vancouver, ISO, etc.
9

Murali, Sarangapani, Bayaras Abito Danila et Zhang Xi. « Reliability of Coated and Alloyed Copper/Silver Ball Bonds ». International Symposium on Microelectronics 2017, no 1 (1 octobre 2017) : 000318–24. http://dx.doi.org/10.4071/isom-2017-wa55_128.

Texte intégral
Résumé :
Abstract The paper discusses on the reliability of coated and alloyed copper/silver ball bonds on both epoxy molded and unmolded conditions:Moisture resistance test using unmolded device at 130°C 85%RH (humidity chamber) revealed no ball lift failure until 96hours for the ball size of 1.65 times the diameter of wireCorrosion resistance test using unmolded device at room temperature by dripping (or soaking) dilute chlorine (Cl) solution revealed no ball lift failure for gold wires. Copper base wire bonds failed after 6min of storage while silver (Ag) base wire bonds showed a few bond lifts within 2min. This shows that Ag base wires are more sensitive to Cl environment than copper (Cu) base wiresA case study of epoxy molded device using green mold compound and four types of Cu and Ag base ball bonds passed on thermal ageing (HTS) at 175°C for 4000h and on +5V bHAST for 500h. The test response is by measuring electrical resistance in order not to reduce below 10% of contact resistance measured during time zero bonding○ Cross-section analysis of the samples showed intact bonding of Cu and Ag base ball bonds after 4000h of HTS○ The result shows when Cu and Ag base wire bonds molded with a good compatible green mold epoxy compound satisfy the automotive electronic council (AEC – Q006 & Q100 Rev-H, for Cu) requirements of 2X stress test with respect to electrical resistance measurementAnother case study of epoxy molded device revealed Cu and Ag base wire bonds pass 3000cycles of thermal cycling (−55°C to +150°C) without any neck/heel cracks and stitch lifts In addition, fine wires are baked at elevated temperature under vacuum or by purging nitrogen to find the quality of wire surface. As expected, Au, Cu and Ag base wires show clean surface. For palladium (Pd) coated Cu wire, a good Pd adhesion to Cu core surface without blisters is evident.
Styles APA, Harvard, Vancouver, ISO, etc.
10

Xu, Wen Jiao, et Shu Yu Lu. « Recycling of Thermosetting Epoxy Molding Compound Waste into PVC Composites : Effect of Silane Coupling Agent on Morphology and Physical Properties ». Advanced Materials Research 311-313 (août 2011) : 1496–500. http://dx.doi.org/10.4028/www.scientific.net/amr.311-313.1496.

Texte intégral
Résumé :
The aim of this study was to investigate the recycling of waste cured epoxy molding compound (c-EMC) which was generated as the mold residue in molding process of electronic component packages, by way of blending the pulverized mold residue with poly (vinyl chloride) (PVC) and other additives to prepare a reproduction composite. The influence of modified and non-modified c-EMC powder on structure and properties of the composites were analyzed by mechanical tests, vicat softening point test (VST) and scanning electron microscopy (SEM). The results showed that simple adding c-EMC powder could improve the stiffness and heat resistance of the composites, though decreased the mechanical strength of the composites. After being pretreated by silane coupling agent γ-aminopropyltriethoxysilane (KH-550), modified c-EMC powder had effective strengthening and toughening effects on the composites with the increasing powder content. Furthermore, better interfacial adhesion and higher VST value of the composites were observed in the presence of KH-550.
Styles APA, Harvard, Vancouver, ISO, etc.

Thèses sur le sujet "Epoxy Mold Compound"

1

Tomas, Ariane. « Contribution à l’évaluation de la fiabilité des assemblages QFN et WLP : études thermo- et hygro-mécaniques des résines d’encapsulation ». Electronic Thesis or Diss., Bordeaux, 2023. http://www.theses.fr/2023BORD0460.

Texte intégral
Résumé :
Cette thèse est en partenariat avec le laboratoire IMS et l'entreprise UMS. Elle se place dans le contexte de développement et d’évaluation de technologie d’encapsulation permettant une intégration hétérogène. L'objectif de cette thèse est de comprendre comment l’interaction entre la résine d’encapsulation et les puces influe sur la fiabilité du composant et peut mener à des défaillances liées à des contraintes thermiques et en humidité. Les objectifs de ces travaux sont aussi de déterminer l’influence du choix de résine d’encapsulation et donc des propriétés matériaux sur le comportement thermomécanique et hygromécanique du composant. Il s’agit donc d’établir une méthodologie d’étude de ces comportements par l’analyse des résines d’encapsulation
This work is placed in the context of development and evaluation of packaging technology allowing heterogeneous integration. The objective of this thesis is to understand how the interaction between the mold compound and the dies influences the reliability of the component and can lead to failures linked to thermal and humidity stress. The objectives of this work are also to determine the influence of the choice of the resin and therefore of the material properties on the thermomechanical and hygromechanical behavior of the component. It is therefore a question of establishing a methodology for studying these behaviors through the analysis of encapsulation resins
Styles APA, Harvard, Vancouver, ISO, etc.
2

Tomori, Oluwatosin Oyewole. « Machining of ceramic filled epoxy and its impact on injection mold Applications ». Thesis, Georgia Institute of Technology, 2002. http://hdl.handle.net/1853/16901.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
3

Wang, Zhi-Guo, et 王智國. « Modeling the Viscoelastic Properties of Epoxy Molding Compound during Post Mold Cure in IC Packaging ». Thesis, 2006. http://ndltd.ncl.edu.tw/handle/40139693337622112337.

Texte intégral
Résumé :
碩士
國立成功大學
機械工程學系碩博士班
94
Epoxy molding compound (EMC) is a common material in electronic packages. Warpage after encapsulating in packaging process is always a problem to engineers. The experimental and simulation results of warpage of EMC-Cu and EMC-Si bi-laminates revealed that higher mold temperature caused larger warpage, and the packaging pressure effect upon warpage was less dominant. The simulation was based on P-V-T-C properties of EMC (, i.e. the relation between packing pressure, volume shrinkage, mold temperature and degree of cure). The experimental and simulation results of bi-laminates during non-pressed post mold cure (PMC) revealed that PMC could reduce the warpage. The simulation was based on the phenomenon that Tg changes during PMC. Under high-temperature for a long time such as PMC, EMC can behave like a viscoelastic material. Therefore viscoelasticity should be considered during the post mold cure process. Due to the lack of cure-dependent viscoelastic models, the objective of this thesis is therefore to construct a viscoelastic model with degree of cure as one of parameters. By dynamic mechanical analyzer (DMA) testing, the generalized Maxwell's model and Williams-Ladel-Ferry (WLF) equation of Hitachi 9200 compound were identified. By the measuring the loss and storage moduli of differently cured polymer at room temperature, the equation relating the degree of cure to cure shift factor was also proposed. WLF equation defines the relation between temperature and temperature shift factor(aT) while the forementioned shift equation defines the relation between degree of cure and cure shift factor(aC). These two relating equations define two kinds of shift factors. With these two relating equations, the relaxation modulus for any degree of cure and temperature can be defined.
Styles APA, Harvard, Vancouver, ISO, etc.

Chapitres de livres sur le sujet "Epoxy Mold Compound"

1

Kitaoka, Satoshi, Naoki Kawashima, Keiji Maeda, Takaki Kuno et Yoshinori Noguchi. « Design of Mold Materials for Encapsulating Semiconductors Using Epoxy Compounds ». Dans Advanced Processing and Manufacturing Technologies for Structural and Multifunctional Materials, 19–26. Hoboken, NJ, USA : John Wiley & Sons, Inc., 2009. http://dx.doi.org/10.1002/9780470339718.ch3.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
2

Kitaoka, Satoshi, Naoki Kawashima, Keiji Maeda, Takaki Kuno et Yoshinori Noguchi. « Design of Mold Materials for Encapsulating Semiconductors Using Epoxy Molding Compounds ». Dans Materials Science Forum, 539–42. Stafa : Trans Tech Publications Ltd., 2007. http://dx.doi.org/10.4028/0-87849-462-6.539.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.

Actes de conférences sur le sujet "Epoxy Mold Compound"

1

Tomas, Ariane, Benoit Lambert, Helene Fremont, Nathalie Malbert et Nathalie Labat. « Epoxy Mold Compound Characterization for Modeling Packaging Reliability ». Dans 2022 23rd International Conference on Thermal, Mechanical and Multi-Physics Simulation and Experiments in Microelectronics and Microsystems (EuroSimE). IEEE, 2022. http://dx.doi.org/10.1109/eurosime54907.2022.9758842.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
2

Fan, Xuejun, et Vishal Nagaraj. « In-situ moisture desorption characterization of epoxy mold compound ». Dans 2012 13th Intl. Conf. on Thermal, Mechanical & Multi-Physics Simulation and Experiments in Microelectronics and Microsystems (EuroSimE). IEEE, 2012. http://dx.doi.org/10.1109/esime.2012.6191772.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
3

Widodo, T. S., X. F. Brun, N. Tsunoda, Y. Ichige, S. Arata, C. Noda, S. Nomura et S. Kondo. « Selective Epoxy Mold Compound Slurry for Advanced Packaging Technology ». Dans 2022 IEEE 72nd Electronic Components and Technology Conference (ECTC). IEEE, 2022. http://dx.doi.org/10.1109/ectc51906.2022.00204.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
4

Arata, Shogo, Chiaki Noda, Yasuhiro Ichige, Satoyuki Nomura, T. S. Widodo, N. Tsunoda et X. F. Brun. « Selective and Tunable Slurry for Advanced Packaging Epoxy Mold Compound ». Dans 2022 IEEE International Interconnect Technology Conference (IITC). IEEE, 2022. http://dx.doi.org/10.1109/iitc52079.2022.9881294.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
5

Garete, April Joy H., Marlon F. Fadullo et Reinald John S. Roscain. « Epoxy Mold Compound Curing Behavior and Mold Process Cure Time Interaction on Molded Package Performance ». Dans 2019 IEEE 21st Electronics Packaging Technology Conference (EPTC). IEEE, 2019. http://dx.doi.org/10.1109/eptc47984.2019.9026644.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
6

Fan, Haibo, Hugo Wong, Fei Wong, Kai Zhang et Haibin Chen. « Study of V-groove on Leadframe/Epoxy Mold Compound Delamination Improvement ». Dans 2019 20th International Conference on Electronic Packaging Technology(ICEPT). IEEE, 2019. http://dx.doi.org/10.1109/icept47577.2019.245333.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
7

Gschwandl, Mario, Peter Filipp Fuchs, Ivaylo Mitev, Mahesh Yalagach, Thomas Antretter, Tao Qi et Angelika Schingale. « Modeling of manufacturing induced residual stresses of viscoelastic epoxy mold compound encapsulations ». Dans 2017 IEEE 19th Electronics Packaging Technology Conference (EPTC). IEEE, 2017. http://dx.doi.org/10.1109/eptc.2017.8277557.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
8

Qian, Qiuxiao, et Yong Liu. « Board level solder reliability simulation for epoxy mold compound based power package ». Dans 2017 IEEE 19th Electronics Packaging Technology Conference (EPTC). IEEE, 2017. http://dx.doi.org/10.1109/eptc.2017.8277584.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
9

Guillon, David, Andris Avots, Milad Maleki, Katrin Schlegel et Isabell Ehrler. « Impact of reliability tests on the adhesion of the epoxy mold compound ». Dans 2022 IEEE 72nd Electronic Components and Technology Conference (ECTC). IEEE, 2022. http://dx.doi.org/10.1109/ectc51906.2022.00094.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
10

Fernandez, Matthew M., Beila Angeles et Deo Navaja. « Epoxy Mold Compound Characterization and Cure Kinetics for Post Mold Isothermal Cure Improvement and Accelerated Reliability Assessment ». Dans 2019 IEEE 21st Electronics Packaging Technology Conference (EPTC). IEEE, 2019. http://dx.doi.org/10.1109/eptc47984.2019.9026594.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
Nous offrons des réductions sur tous les plans premium pour les auteurs dont les œuvres sont incluses dans des sélections littéraires thématiques. Contactez-nous pour obtenir un code promo unique!

Vers la bibliographie