Livres sur le sujet « ELECTRONIC AND DESIGN AUTOMATION (EDA) »

Pour voir les autres types de publications sur ce sujet consultez le lien suivant : ELECTRONIC AND DESIGN AUTOMATION (EDA).

Créez une référence correcte selon les styles APA, MLA, Chicago, Harvard et plusieurs autres

Choisissez une source :

Consultez les 50 meilleurs livres pour votre recherche sur le sujet « ELECTRONIC AND DESIGN AUTOMATION (EDA) ».

À côté de chaque source dans la liste de références il y a un bouton « Ajouter à la bibliographie ». Cliquez sur ce bouton, et nous générerons automatiquement la référence bibliographique pour la source choisie selon votre style de citation préféré : APA, MLA, Harvard, Vancouver, Chicago, etc.

Vous pouvez aussi télécharger le texte intégral de la publication scolaire au format pdf et consulter son résumé en ligne lorsque ces informations sont inclues dans les métadonnées.

Parcourez les livres sur diverses disciplines et organisez correctement votre bibliographie.

1

Essential electronic design automation (EDA). Upper Saddle River, N.J : Prentice Hall PTR/Pearson Education, 2004.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
2

Gulati, Kanupriya. Hardware acceleration of EDA algorithms : Custom ICs, FPGAs and GPUs. New York : Springer, 2010.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
3

European Design and Test Conference (1994 Paris, France). The European Design and Test Conference : Proceedings : EDAC, The European Conference on Design Automation : ETC, European Test Conference : EUROASIC, The European Event in ASIC Design : February 28-March 3, 1994, Paris, France. Los Alamitos, Calif : IEEE Computer Society Press, 1994.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
4

Rammig, Franz J., et Flávio R. Wagner, dir. Electronic Design Automation Frameworks. Boston, MA : Springer US, 1995. http://dx.doi.org/10.1007/978-0-387-34880-3.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
5

Bayliss, John. Electronic design automation report. London : Cambridge Market Intelligence, 1994.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
6

Jansen, Dirk, dir. The Electronic Design Automation Handbook. Boston, MA : Springer US, 2003. http://dx.doi.org/10.1007/978-0-387-73543-6.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
7

Hadburg, Bruce P. The electronic design automation market. [Saratoga, Calif.] : Electronic Trend Publications, 1990.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
8

Li, Jun. EDA Ji shu yu VHDL bian cheng. Beijing Shi : Dian zi gong ye chu ban she, 2012.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
9

Soeken, Mathias, et Rolf Drechsler, dir. Natural Language Processing for Electronic Design Automation. Cham : Springer International Publishing, 2020. http://dx.doi.org/10.1007/978-3-030-52273-5.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
10

Ren, Haoxing, et Jiang Hu, dir. Machine Learning Applications in Electronic Design Automation. Cham : Springer International Publishing, 2022. http://dx.doi.org/10.1007/978-3-031-13074-8.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
11

Mattar, S. G. Electronic office design guide. [Calgary : Alberta Public Works, Supply and Services, Project Management Division, 1985.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
12

1959-, Lavagno Luciano, Scheffer Lou et Martin Grant, dir. EDA for IC system design, verification, and testing. Boca Raton, FL : Taylor & Francis, 2005.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
13

Stasz, Cathleen. Electronic tools and job design. Santa Monica, CA : Rand, 1986.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
14

Industrial automation : Circuit design and components. New York : Wiley, 1989.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
15

International IFIP WG 10.5 Working Conference on Electronic Design Automation Frameworks (4th 1994 Gramado, Rio Grande do Sul, Brazil). Electronic design automation frameworks : Proceedings of the Fourth International IFIP WG 10.5 Working Conference on Electronic Design Automation Frameworks. London : Chapman & Hall, 1995.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
16

Rammig, Franz J. Electronic Design Automation Frameworks : Proceedings of the fourth International IFIP WG 10.5 working conference on electronic design automation frameworks. Boston, MA : Springer US, 1995.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
17

Association for Computing Machinery. ACM transactions on design automation of electronic systems. New York, NY : Association for Computing Machinery, 1996.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
18

Electronic design automation for Windows : A user's guide. Englewood Cliffs, N.J : Prentice Hall PTR, 1995.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
19

Pacheco, Jesus Manuel Muñoz. Electronic design automation of multi-scroll chaos generators. S.l.] : Bentham e Books, 2010.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
20

Machinery, Association for Computing, et ACM IEEE Design Automation Conference., dir. 25 years of electronic design automation : A compendium of papers from the Design Automation Conference. New York, N.Y : Association for Computing Machinery, 1988.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
21

Balkir, Sina. Analog VSLI design automation. Boca Raton, FL : CRC Press, 2003.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
22

Kang, Yi. New power electronic circuit modelling techniques for design automation. Ottawa : National Library of Canada, 1993.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
23

Design, Automation and Test in Europe Conference and Exhibition (2005 Munich Germany). Design, Automation, and Test in Europe : Proceedings : Munich, Germany, March 7-11, 2005, sponsored by European Design and Automation Association ... [et al.]. Los Alamitos, Calif : IEEE Computer Society, 2005.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
24

Merker, Renate. System Design Automation : Fundamentals, Principles, Methods, Examples. Boston, MA : Springer US, 2001.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
25

Tom, Rhyne, dir. Electronic design automation frameworks : When will the promise be realized ? Amsterdam : North-Holland, 1992.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
26

J, Rammig F., et Waxman Ron, dir. Electronic design automation frameworks : Proceedings of the Second IFIP WG 10.2 Workshop on Electronic Design Automation Frameworks, Charlottesville, VA, U.S.A., 26-28 November 1990. Amsterdam : North-Holland, 1991.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
27

Stillwell, H. Richard. Electronic product design for automated manufacturing. New York : Marcel Dekker, 1989.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
28

Developing Electronic Systems for Testability Using Electronic Design Automation (EDA) and Standards. ERA Technology Ltd, 1993.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
29

Parker, Philip M. The 2007-2012 World Outlook for Electronic Design Automation (EDA). ICON Group International, Inc., 2006.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
30

EDA : Where Electronics Begins. TechBITES INTERactive, 2001.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
31

(Editor), Louis Scheffer, Luciano Lavagno (Editor) et Grant Martin (Editor), dir. EDA for IC System Design, Verification, and Testing (Electronic Design Automation for Integrated Circuits Handbook). CRC, 2006.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
32

(Editor), Luciano Lavagno, Louis Scheffer (Editor) et Grant Martin (Editor), dir. EDA for IC Implementation, Circuit Design, and ProcessTechnology (Electronic Design Automation for Integrated Circuits Handbook). CRC, 2006.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
33

Martin, Grant, Louis Scheffer et Luciano Lavagno. Eda for IC System Design, Verification, and Testing. Electronic Design Automation for Integrated Circuits Handbook. Taylor & Francis Group, 2010.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
34

Gulati, Kanupriya, et Sunil P. P. Khatri. Hardware Acceleration of EDA Algorithms : Custom ICs, FPGAs and GPUs. Springer, 2014.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
35

Gulati, Kanupriya, et Sunil P. Khatri. Hardware Acceleration of EDA Algorithms : Custom ICs, FPGAs and GPUs. Springer, 2011.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
36

Electronic Design Automation. Elsevier, 2009. http://dx.doi.org/10.1016/s1875-9661(08)x0006-4.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
37

Jansen, Dirk. Electronic Design Automation Handbook. Springer London, Limited, 2010.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
38

Jansen, Dirk. Handbuch der Electronic Design Automation. Fachbuchverlag Leipzig im Carl Hanser Verlag, 2001.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
39

The Electronic Design Automation Handbook. Springer, 2003.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
40

Jansen, Dirk. The Electronic Design Automation Handbook. Springer, 2010.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
41

Jansen, Dirk. The Electronic Design Automation Handbook. Springer, 2013.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
42

Chen, Wai-Kai. Computer Aided Design and Design Automation. Taylor & Francis Group, 2018.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
43

Chen, Wai-Kai. Computer Aided Design and Design Automation. Taylor & Francis Group, 2018.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
44

Chen, Wai-Kai. Computer Aided Design and Design Automation. Taylor & Francis Group, 2009.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
45

Chen, Wai-Kai. Computer Aided Design and Design Automation. Taylor & Francis Group, 2018.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
46

Chen, Wai-Kai. Computer Aided Design and Design Automation. Taylor & Francis Group, 2018.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
47

Chen, Deming, Jason Cong et Peichan Pan. FPGA Design Automation (Foundations and Trends(R) in Electronic Design Automation). Now Publishers Inc, 2006.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
48

Martin, Grant, Louis Scheffer et Luciano Lavagno. EDA for IC System Design, Verification, and Testing. Taylor & Francis Group, 2018.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
49

Martin, Grant, Louis Scheffer et Luciano Lavagno. EDA for IC System Design, Verification, and Testing. Taylor & Francis Group, 2018.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
50

Martin, Grant, Louis Scheffer et Luciano Lavagno. EDA for IC System Design, Verification, and Testing. Taylor & Francis Group, 2018.

Trouver le texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
Nous offrons des réductions sur tous les plans premium pour les auteurs dont les œuvres sont incluses dans des sélections littéraires thématiques. Contactez-nous pour obtenir un code promo unique!

Vers la bibliographie