Thèses sur le sujet « Basses consommations »

Pour voir les autres types de publications sur ce sujet consultez le lien suivant : Basses consommations.

Créez une référence correcte selon les styles APA, MLA, Chicago, Harvard et plusieurs autres

Choisissez une source :

Consultez les 50 meilleures thèses pour votre recherche sur le sujet « Basses consommations ».

À côté de chaque source dans la liste de références il y a un bouton « Ajouter à la bibliographie ». Cliquez sur ce bouton, et nous générerons automatiquement la référence bibliographique pour la source choisie selon votre style de citation préféré : APA, MLA, Harvard, Vancouver, Chicago, etc.

Vous pouvez aussi télécharger le texte intégral de la publication scolaire au format pdf et consulter son résumé en ligne lorsque ces informations sont inclues dans les métadonnées.

Parcourez les thèses sur diverses disciplines et organisez correctement votre bibliographie.

1

Mourabit, Aimad El. « Étude et conception d'opérateurs analogiques en CMOS, pour des applications basses fréquences, faibles tensions et faibles consommations ». Lyon 1, 2005. http://www.theses.fr/2005LYO10105.

Texte intégral
Résumé :
Le travail présenté dans cette thèse contribue au développement d'opérateurs analogiques faible tension et faible consommation en CMOS pour le traitement du signal sur puce. Il s'inscrit dans le cadre du développement de systèmes miniaturisés de microanalyse pour applications biomédicales. Un opérateur étudié est un OTA (Amplificateur Opérationnel de Transconductance) de faible transconductance Gm. C'est un bloc clé pour concevoir des filtres Gm-C monolithique très basses fréquences. On peut montrer que l'OTA à base de transistors MOS à entrées multiples et grilles flottantes (MIFG-MOS) fonctionnant en faible inversion permet à la fois l'obtention de faible Gm et l'extension de la zone linéaire. La linéarité peut encore être améliorée jusqu'aux limites de la tension d'alimentation par l'implémentation d'une technique de suppression du terme de distorsion cubique. On propose ainsi des structures d'OTA sous 1,5V avec une consommation inférieure à 1µW pour des filtres Gm-C à très faibles fréquences de coupures. D'autres opérateurs, comme par exemple le multiplieur, sont aussi étudiés. Là encore, en utilisant des transistors MIFG-MOS et en appliquant la technique d'annulation du terme de distorsion cubique, plusieurs structures d'opérateurs faibles tensions mais avec une grande plage dynamique sont développées
Styles APA, Harvard, Vancouver, ISO, etc.
2

Bontems, William. « Conception d'un convertisseur analogique numérique de haute résolution et faible surface pour des applications de très faible consommation (Ultra Low Power) ». Electronic Thesis or Diss., Université Grenoble Alpes, 2024. http://www.theses.fr/2024GRALT034.

Texte intégral
Résumé :
Face aux défis environnementaux et technologiques actuels, et à l'essor croissant de l'utilisation des objets connectés ou embarqués, la consommation énergétique des circuits d'acquisition et de traitement des signaux devient un facteur limitant voire très critique. L'évolution des technologies, marquée par la réduction des tensions d'alimentation, favorise naturellement la réduction de l'énergie dissipée. Toutefois, des efforts supplémentaires sont nécessaires en ce qui concerne les architectures et les méthodes de conception pour atteindre des performances qualifiables de "Low Power" et "Ultra Low Power".Dans cette thèse, notre travail a principalement porté sur le bloc de convertisseur analogique-numérique (CAN), dont la part de consommation dans la chaîne analogique est souvent très significative. Nous démontrons pourquoi les concepts à approximations successive sont à nouveau très concurrentiels. Ce travail explique les algorithmes et méthodologies récents, visant à trouver des compromis entre résolution, vitesse et consommation. Trois convertisseurs à approximations successives (SAR) ont été entièrement modélisés sous Matlab puis conçus dans la technologie CMOS 65 nm afin d'évaluer leurs performances :- Un CAN SAR de résolution 15 bits et de fréquence de conversion de 200 KS/s.- Un CAN SAR de résolution 12 bits et de fréquence de conversion de 1.5 MS/s.- Un CAN SAR de résolution 12 bits implémenté selon une architecture entrelacée, pour atteindre une fréquence de conversion de 40 MS/s.Pour ces trois circuits, nous avons mis en œuvre une architecture de convertisseur numérique-analogique (CAN) dite "segmentée et à matrice divisée", ce qui a permis une réduction significative de la surface et une diminution des contraintes sur les tensions de référence. Des algorithmes de calibration et d'association dynamique des éléments ont été utilisés pour compenser les effets du mismatch des capacités sur les performances. De plus, nous avons étudié une nouvelle génération de comparateur temporel, caractérisée par une consommation nettement réduite par rapport à un comparateur classique, bien que cela soit au détriment de la vitesse de comparaison. Finalement, après la réalisation du layout, les caractéristiques de consommation moyenne et de surface sont les suivantes :- Pour le CAN 15 bits : 8 µW de consommation et une surface de 40 µm * 2280 µm.- Pour le CAN 12 bits : 10 µW de consommation et une surface de 40 µm * 770 µm.- Pour le CAN 12 bits à temps entrelacés : 280 µW de consommation et une surface de 1125 µm * 771 µm.Ces layout sont réalisés avec une forme permettant de mettre plusieurs voies en parallèle pour viser des applications matricielles d’imagerie
Following the present day environmental issues and the necessary innovation challenges, along with the increasing demand of connected or embedded devices, power consumption is becoming a bottleneck for front-end signal processing circuits. In advanced microelectronics technology nodes, the power supply reduction helps naturally for lower energy dissipation. However, important added efforts are needed for high resolution designs. New design architectures with improved methodologies are necessary to reach performances which could be qualified as "Low Power" or "Ultra Low Power" circuits.In this thesis, our work focused primarily on the analog-to-digital converter (ADC) block, which often accounts for a huge portion of the total power consumption in an analog read-out chain. We explain why successive approximations architectures are still highly competitive. This work highlights recent algorithms and methodologies aimed at achieving the best compromise between resolution, speed and power consumption. We pay constant attention to the die surface. Three versions of ADCs were carefully modeled using MATLAB tools and then designed in 65 nm CMOS technology to evaluate their performances:- A 15-bit resolution SAR ADC with a sampling rate of 200 KS/s.- A 12-bit resolution SAR ADC at a sampling rate of 1.5 MS/s.- A 12-bit resolution SAR ADC based on a time-interleaved architecture, achieving a conversion frequency of 40 MS/s.For these three circuits, a segmented and split digital-to-analog converter (DAC) architecture is used, which significantly reduced the area and relaxed constraints on reference voltages. Calibration algorithms and dynamic element matching were employed to compensate the capacitors mismatch impacts. Additionally, we investigated a new generation of comparator leveraging significantly the reduced power consumption in contrast to conventional comparators, but at the cost of limited speed. Finally, after layout completion, the average consumption and surface characteristics are as follows:- For the 15-bit ADC: 8 µW consumption and an area of 40 µm * 2280 µm.- For the 12-bit ADC: 10 µW consumption and an area of 40 µm * 770 µm.- For the interleaved 12-bit ADC: 280 µW consumption and an area of 1125 µm * 771 µm.These layouts are designed with a shape allowing for column parallel applications
Styles APA, Harvard, Vancouver, ISO, etc.
3

Rios, Arámbula David. « Systèmes à microprocesseurs asynchrones basse consommation ». Grenoble INPG, 2008. http://www.theses.fr/2008INPG0173.

Texte intégral
Résumé :
Cette thèse présente une contribution à la conception de circuits asynchrones Quasi Insensibles aux Délais (QDI) faible consommation. Une brève étude des méthodes d'estimation de l'énergie dans les circuits CMOS est présentée. Dans le deuxième chapitre, la méthodologie proposée sera présentée. Cette méthodologie utilise trois outils qui permettent la synthèse, l'optimisation et l'estimation d'énergie des circuits asynchrones QDI. La conception de ces circuits se fait à partir d'un langage de haut niveau (CHP). Le troisième chapitre expose une étude sur les choix d'architectures lors de la conception des circuits asynchrones QDI en utilisant la méthodologie proposée. Une comparaison avec les équivalents synchrones des architectures étudiées sera aussi montrée. Finalement, le quatrième chapitre présente une technique pour réduire la consommation d'un circuit en régulant la tension d'alimentation avec un asservissement à boucle fermée pour contrôler la tension d'alimentation
This Work presents a contribution to the design of asynchronous QDI (Quasi Delay insensitive) circuits for low power consumption. A quick study of the power estimation techniques will be shown. The methodology proposed will be presented in the chapter 2. This methodology uses 3 tools that perform the synthesis, optimization and the estimation of the asynchronous QDI circuits. The design of those circuits is done with a high level language for asynchronous circuits (CHP). The third chapter shows a study of different architectures to select the best one in terms of power consumption, speed and size. That chapter also shows a comparison between the equivalent synchronous circuits. In the final chapter, a technique for the reduction of the power consumption is presented. This technique changes the voltage of the circuit with a feedback control
Styles APA, Harvard, Vancouver, ISO, etc.
4

Achir, Mounir. « Technologies basse consommation pour les réseaux ad-hoc ». Grenoble INPG, 2005. http://www.theses.fr/2005INPG0052.

Texte intégral
Résumé :
Cette thèse porte sur l'étude de la consommation d'énergie dans les réseaux IEEE 802. 15. 4 (Low Rate-Wireless Personal Area Networks). Ce travail se compose en deux parties, une première partie consistait à étudier les spécifications de ce standard et tenter d'apporter une estimation de la consommation d'énergie globale d'une station IEEE 802. 15. 4 en fonction de différents paramètres supposés dans cette étude. Ceci a été fait en modélisant la sous couche MAC de cette norme par une chaîne de Markov. Un modèle probabiliste d'interférences radio incluant des paramètres des couches application et physique a été développé et rajouté au modèle Markovien. Avec ce modèle global obtenu, on peut estimer la consommation d'énergie en fonction des différents paramètres choisis par le groupe de travail 802. 15. 4. Ainsi, nous pouvons apporter des critiques quant au choix des paramètres et voir surtout leur impact sur la consommation d'énergie. La deuxième partie consistait à étudier les possibilités de la couche réseau dans de tels réseaux. Un protocole de routage, MPSR (Multi-Path Source Routing), a été proposé et développé sous un simulateur de réseaux sans fil. Ce protocole a été comparé avec d'autres protocoles de routage via simulation
This thesis concern the energy consumption studying of IEEE 802. 15. 4 networks (Low Rate-Wireless Personal Area Networks). This work contain two parts, in the first part, we propose a model from which we obtain an estimation of the power consumption in a wireless node while taking into account both MAC and PHY layers of this norm. We estimate this power consumption with a Markovien modelisation of the IEEE 802. 15. 4 MAC. The transition probabilities of the Markov chain are calculated using an interference and a traffic model. The synthesis of this work gives us a relation ship between nodes power consumption and each of the main parameters specified in the physical layer. In the second part, we explore the wireless routing possibilities in IEEE 802. 15. 4 networks. We propose a new routing protocol called MPSR (Multi-Path Source Routing Protocol). Through simulation, we demonstrate the robustness and the energy efficiency of MPSR and compare its results with classical routing protocols
Styles APA, Harvard, Vancouver, ISO, etc.
5

Bonan, José. « Conversion analogique numérique basse consommation pour micro-capteurs ». Paris 6, 2008. http://www.theses.fr/2008PA066550.

Texte intégral
Résumé :
Au cours des prochaines décennies, le marché lié à la conception d’actionneurs et de capteurs ainsi qu’à leur intégration dans des systèmes, va connaître une forte expansion. En effet la tendance générale qui consiste à concevoir des systèmes plus sûrs et plus intelligents dans des domaines tels que ceux de l’automobile, de l’avionique, du bâtiment a conduit à intégrer de plus en plus de capteurs dans la vie quotidienne. Le marché économique lié aux applications civiles de ces derniers est prometteur et s’évalue pour 2008 à plus de 50,6 milliards d'euros. Le succès des capteurs et en particulier de ceux basés sur la technologie CMOS tient à leur possibilité d’intégrer des systèmes microélectromécaniques (MEMS) à des circuits intégrés dédiés à des fonctions de traitement du signal sur un même substrat de silicium. Dans ce travail, c’est dans le cadre d’une application embarquée qui offre une densité de mémoire ultra-haute que nous avons conçu le convertisseur analogique numérique (CAN) ainsi que son optimisation sur la base des spécifications du frontal analogique (AFE). Le haut débit des données exigées à la sortie du système conduit à concevoir un traitement du signal massivement parallèle et de ce fait à considérer une architecture matricielle. Toutefois, le principal inconvénient dans le choix d’une telle configuration réside dans la forte contrainte imposée à la consommation et à la surface occupée par le convertisseur. Dans ce contexte, Le CAN constitue la partie la plus complexe et la plus critique du bloc analogique dans le système puisque d’une part il assure l’interface entre le monde de l’analogique et celui du numérique et d’autre part il présente une conception sujette à de fortes contraintes de réalisation. Les principales contributions de ce travail peuvent être déclinées en cinq axes de recherche qui peuvent être les suivants: L’identification des architectures de convertisseurs qui répondent aux spécifications du système. La mise en place d’une méthodologie dans la conception de convertisseurs compacts et de faible consommation. L’identification des contraintes limitant la conception de CAN compact et de faible consommation réalisée à l’aide de la méthode des capacités commutées. Dans ce cadre nous avons conçu un modulateur Sigma Delta du premier et second ordre et un Convertisseur Cyclique. Les modulateurs  du premier et second ordre implémentés à l’aide de la technique des capacités commutées, ainsi que leur version optimisée en termes de consommation et de surface occupée, sont électriquement testés et leurs performances comparées. Une nouvelle architecture du convertisseur cyclique a été alors proposée. L’objectif étant d’optimiser l’architecture dite ‘’classique’’ en termes de consommation et de surface occupée et de répondre ainsi aux spécifications demandées. A partir des résultats de mesures faites sur les puces, nous avons comparé les performances des convertisseurs et confirmé notre méthode de conception. L’étude de convertisseurs analogiques numériques, en temps continu et en mode courant dans le cadre de la conception de CAN compact et de basse consommation (Modulateur Sigma Delta du second ordre temps continu mode courant). Sur la base de la transformée z modifiée, grâce à laquelle nous établissons l'équivalence entre les convertisseurs en temps discret et ceux conçus en temps continu, nous discutons et réalisons les compromis et les optimisations que l’on fait en terme de faibles consommation et de surface occupée par le convertisseur. Pour confirmer nos propos nous avons conçu un  en temps continu et en mode courant du second ordre de type NRZ et HRZ. Les performances du circuit sont discutées. Une conception automatisée du convertisseur analogique numérique. L'outil de CAO Cairo + développé au LIP6 est présenté. Nous démontrons que l’outil Cairo+ permet de par sa flexibilité et l’existence d’un protocole de communication entre les différents blocs du système, de gérer avec efficacité les paramètres de conception. Ce travail témoigne de l'aisance avec laquelle le concepteur met à jour ces circuits en les faisant migrer d'une technologie à une autre grâce à Cairo+Nous démontrons son utilisation et son efficacité avec la migration d’un modulateur  temps continu en mode courant de type HRZ d’une technologie de 250nm vers une technologie 180nm. La fabrication et les mesures des différents circuits conçus nous a permis la validation de nos méthodes de conception. La comparaison des performances de nos circuits avec ceux obtenus par la recherche par ailleurs nous a conduits à confirmer l’excellence de nos résultats.
Styles APA, Harvard, Vancouver, ISO, etc.
6

Faye, Mathieu Coumba. « Etude de systèmes basse consommation avec récupération d'énergie ». Electronic Thesis or Diss., Aix-Marseille, 2019. http://www.theses.fr/2019AIXM0634.

Texte intégral
Résumé :
Habituellement, les antennes utilisées dans les technologies RFID communiquant en champ proche ont une surface relativement grande. La réduction de cette surface présente un fort intérêt dans la mesure où elle facilite l’intégration dans des objets de petite dimension. Cependant, elle conduit à une diminution considérable de l’énergie disponible. Une amélioration de l’efficacité de fonctionnement, de tous les dispositifs intégrés à la puce, est primordiale pour pouvoir conserver les mêmes fonctionnalités d’un système passif avec une taille d’antenne qui tend à se réduire. Toutefois, l’optimisation adéquate devra se faire au niveau du système global. Cela assurera une contribution optimale de chaque dispositif inclus dans le circuit mais aussi celle de l’antenne radiofréquence. A cela se rajoute la tendance, au sein des applications associées à ces technologies, à intégrer de plus en plus de données et à nécessiter des débits de plus en plus élevés. Afin de répondre à ces problématiques, nous avons mené des études systèmes permettant d’identifier les différents facteurs influents dans la récupération d’énergie et de proposer un système optimisé. Pour l’aspect communication, nous avons étudié et conçu une PLL, basée sur la synchronisation par injection et un algorithme de descente de gradient, permettant de fournir une image sinusoïdale de la porteuse RF. Cela a permis, d’apporter une solution à l’absence de signal RF, durant la modulation ASK. Mais surtout de rendre possible une démodulation quadratique analogique. Grâce à cette méthode, nous avons réussi à concevoir un système compatible avec les méthodes de modulation ASK et PSK
Near field RFID technologies use to embark loop antennas large enough to provide the amount of energy needed by the all the circuits they are interfaced with. Reducing the size of those antennas facilitates their integration into small sized objects and opens up the possibility of new applications. However, it also reduces the energy transfer capability of the system. In order to keep the same functionalities with this size reduction trend, it is clear that each important part of the integrated circuit need to have a higher efficiency. Although an overall optimization, ensuring an optimal contribution of each of all the parts of the system, is more fitting. In addition to this energy drop, the current applications create an increasing need of high data volume exchange and high data rates. The main objectives of thesis work are the optimization of the power transfer capabilities of 13.56MHz passive RF systems and the improvement of the communication circuits. A thorough study, to identify the key factors in RF power transfer, have been led. The ultimate goal being the design of an optimized system. For the communication aspect a discrete PLL, based on injection locking and gradient descent algorithm, was studied and designed. Thus introducing a new method of carrier recovery in this field. This PLL provides a synchronous clock to the system during OOK modulation and also a sine shaped clock for quadrature demodulation. This method was successfully tested on ASK and PSK modulated signals.Two chip have been design and manufactured, using STMicroelectronics 130nm technology for the power recovery system and UMC 55nm technology for the clock and data recovery system
Styles APA, Harvard, Vancouver, ISO, etc.
7

Jeanjean, Anaïs. « Approche d'intégration énergétique dans une maison basse consommation d'énergie ». Perpignan, 2013. http://www.theses.fr/2013PERP1124.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
8

Lenoir, Vincent. « Architectures adaptatives basse consommation pour les communications sans-fil ». Thesis, Université Grenoble Alpes (ComUE), 2015. http://www.theses.fr/2015GREAT085/document.

Texte intégral
Résumé :
Ces travaux de thèse s'inscrivent dans la thématique des objets connectés, désormais connue sous le nom de Internet of Things (IoT). Elle trouve son origine dans la démocratisation d'Internet depuis le début des années 2000 et la migration vers des appareils hautement mobiles, rendue possible grâce à la miniaturisation des systèmes embarqués. Dans ce contexte, l'efficacité énergétique est primordiale puisque les projections actuelles parlent de dizaines de milliards de composants connectés à l'horizon 2020. Or pour une question de facilité de déploiement et d'usage, une grande partie des échanges de données dans ces réseaux s'effectue via une liaison sans-fil dont l'implémentation représente une part importante de la consommation. Effectivement, la question de l'efficacité énergétique est en général considérée comme un problème de perfectionnement des architectures matérielles, souvent associé à une évolution favorable de la technologie. Toutefois, ce paradigme atteint rapidement ses limites puisqu'il implique nécessairement un dimensionnement fortement contraint pour être compatible avec les pires conditions d'utilisation, même si elles ne sont pas effectives la plupart du temps. C'est typiquement le cas avec les communications sans-fil puisque le canal radio est un milieu caractérisé par une forte variabilité en raison des phénomènes de propagation et de la présence d'interférences. Notre étude a donc porté sur la conception d'une chaîne de transmission dont le budget de liaison peut être dynamiquement modifié en fonction de l'atténuation réelle du signal, afin de réduire la consommation du système. La thèse a notamment contribué à la mise au point d'un récepteur auto-adaptatif spécifique à la norme IEEE 802.15.4, en proposant à la fois une architecture de modem numérique reconfigurable et à la fois une méthode de contrôle automatique du point de fonctionnement. Plus précisément, le travail s'est appuyé sur deux approches, l'échantillonnage compressif et l'échantillonnage partiel, pour réduire la taille des données à traiter, diminuant ainsi l'activité interne des opérateurs arithmétiques. En contrepartie, le processus de démodulation nécessite un SNR supérieur, dégradant la sensibilité du récepteur et donc le budget de liaison. Cette solution, portée sur une technologie STMicroelectronics CMOS 65 nm LP, offre une faible empreinte matérielle vis-à-vis d'une architecture classique avec seulement 23,4 kcellules. Grâce au modèle physique du circuit qui a été développé, la consommation pour la démodulation d'un paquet est estimée à 278 uW lorsque le modem est intégralement utilisé. Elle peut toutefois être abaissée progressivement jusqu'à 119 uW, correspondant à une baisse de la sensibilité de 10 dB. Ainsi, le modem implémenté et sa boucle de contrôle permettent d'économiser en moyenne 30 % d'énergie dans un cas d'utilisation typique
This thesis work takes part in the connected objects theme, also known as the Internet of Things (IoT). It emerges from the Internet democratization since the early 2000's and the shift to highly mobile devices, made possible by the miniaturization of embedded systems. In this context, the energy efficiency is mandatory since today's projections are around tens of billions of connected devices in 2020. However for ease of deployment and usage, a large part of the data transfers in these networks is wireless, which implementation represents a significant part of the power consumption. Indeed, the energy efficiency question is addressed in general as a fine tuning of hardware architectures, which is often associated with a favorable technology evolution. Nevertheless, this design paradigm quickly reached its limits since it necessary implies a highly constrained sizing to be compatible with the worst operating conditions, even if they are not effective most of the time. It's typically the case with wireless communications since the radio channel is a medium characterized by a strong variability due to propagations effects and interferences. Thus, our study focused on the design of a communication chain whose link budget can be dynamically tuned depending on the actual signal attenuation, in order to reduce the system power consumption. The thesis has contributed to the design of a self-adaptive receiver dedicated to IEEE 802.15.4 standard, by proposing both a reconfigurable digital baseband architecture and an automatic control method of the operating mode. More precisely, the work relied on two approaches, the compressive sampling and the partial sampling, to reduce the data's size to process, decreasing the internal activity of arithmetics operators. In return, the demodulation processing needs a higher SNR, degrading in the same time the receiver sensitivity and thus the link budget. This solution, implemented in an STMicroelectronics CMOS 65 nm LP process, offers a low hardware overhead compared to conventional architecture with only 23,4 kgates. Thanks to the circuit physical model that has been developed, the power consumption for a packet demodulation is estimated to 278 uW when the baseband is fully activated. It can however be gradually decreased down to 119 uW, corresponding to a sensitivity reduction of 10 dB. Thus, the proposed digital baseband and its control loop save 30 % of energy in average in a typical use case
Styles APA, Harvard, Vancouver, ISO, etc.
9

Bontemps, Stéphanie. « Validation expérimentale de modèles : application aux bâtiments basse consommation ». Thesis, Bordeaux, 2015. http://www.theses.fr/2015BORD0337/document.

Texte intégral
Résumé :
Avec la généralisation de la construction des bâtiments basse consommation, passifs et à énergie positive, mais aussi la rénovation du parc existant, il est indispensable d’avoir recours à la simulation pour évaluer, entre autres, les performances énergétique et environnementale atteintes par ces nouveaux bâtiments. Les attentes en termes de garantie de performance énergétique étant de plus en plus importantes, il est primordial de s’assurer de la fiabilité des outils de simulation utilisés. En effet, les codes de simulation doivent être capables de représenter le comportement de ces nouveaux types de bâtiments de la façon la plus juste et fidèle possible. De plus, les incertitudes liées aussi bien aux paramètres de conception qu’aux différentes sollicitations ainsi qu’aux usages des bâtiments doivent être prises en compte pour pouvoir garantir la performance du bâtiment sur sa durée de vie.Cette thèse s’est intéressée à la validation expérimentale de modèles appliquée à un bâtiment de type cellule test. Cette méthodologie de validation se déroule en plusieurs étapes au cours desquelles on évalue la qualité du modèle en termes de justesse et de fidélité. Plusieurs cas d’études ont été menés sur lesquels nous avons pu identifier les paramètres les plus influents sur la sortie du modèle, examiner l’influence du pas de temps sur le processus de validation expérimentale, analyser l’influence de l’initialisation et confirmer l’aptitude de la méthodologie à tester le modèle
Construction of low, passive and positive energy buildings is generalizing and existing buildings are being renovated. For this reason, it is essential to use simulation in order to estimate, among other things, energy and environmental performances reached by these new buildings. Expectations regarding guarantee of energy performance being more and more important, it is crucial to ensure the reliability of simulation tools being used. Indeed, simulation codes should reflect the behavior of these new kinds of buildings in the most consistent and accurate manner. Moreover, the uncertainty related to design parameters, as well as solicitations and building uses have to be taken into account in order to guarantee building energy performance during its lifetime.This thesis investigates the empirical validation of models applied to a test cell building. This validation process is divided into several steps, during which the quality of the model is evaluated as far as consistency and accuracy are concerned. Several study cases were carried out, from which we were able to identify the most influential parameters on model output, inspect the influence of time step on the empirical validation process, analyze the influence of initialization and confirm methodology’s ability to test the model
Styles APA, Harvard, Vancouver, ISO, etc.
10

Kelemen, Gabor. « Conception des circuits intégrés pour la basse consommation : méthodes comparees ». Paris, ENST, 1997. http://www.theses.fr/1997ENST0001.

Texte intégral
Résumé :
Cette thèse s'inscrit dans l'axe de recherche circuit intégré très basse consommation. L'objectif de cette thèse est de définir une stratégie de réduction de la tension d'alimentation, ainsi qu'une famille logique (traitement multivalue) permettant de réduire le plus possible la consommation des circuits numériques de type traitement de signal. Ce sujet de thèse se place dans l'hypothèse ou l'on pourrait simplement substituer des portes logiques qui consommeraient moins (via la réduction de la tension d'alimentation et le changement de la logique) aux portes logiques actuellement utilisées. Dans un premier temps, nous étudierons les limites en performance, théoriques et pratiques, de la logique cmos en termes de puissances dissipée, les évolutions de ces performances limites compte tenu de l'évolution prévisible de la technologie elle-même (réduction des géométries et de la tension d'alimentation). Ensuite, nous situerons la logique cmos par rapport aux autres logiques (logique dynamique, a precharge, domino, logique multivaluee) dans l'espace consommation/vitesse, ce qui nous permettra de choisir la meilleure famille logique pour le traitement et la transmission des donnees pour les circuits de traitement du signal. La logique multivaluee, bien que tombée en désuétude, permet d'augmenter la puissance de traitements pour un nombre de transistors donne. Il est possible que la réduction des capacités parasites par bit d'information traite permette de réduire la consommation d'un circuit donne. Nous évaluerons donc l'intérêt de telles logiques, revue sous l'angle de la réduction de la consommation. Enfin, nous avons exploite les avantages de la réduction de la tension d'alimentation dans un circuit en vue de la basse consommation. Nous avons regardé dans quelle mesure on pouvait rendre indépendante les tensions d'alimentation internes des tensions externes normalisées et comment générer ces tensions d'alimentation internes. Nous avons étudié la consommation des générateurs de tension internes et des translateurs de niveaux qui assurent la communication entre les parties du circuit alimentées différemment. Le développement d'un circuit de validation a permis d'évaluer les gains obtenus.
Styles APA, Harvard, Vancouver, ISO, etc.
11

Géron, Emmanuel. « Etude et realisation d'un recepteur radiofrequence basse consommation compatible dcs1800 ». Paris 6, 1997. https://hal.archives-ouvertes.fr/tel-01929343.

Texte intégral
Résumé :
En radiotelephonie, la diminution du poids et l'augmentation de l'autonomie des mobiles sont primordiales. Dans ce cadre, nous avons developpe un recepteur radiofrequence, compatible avec la norme dcs1800 et presentant une tres faible consommation. Apres avoir etudie la modulation gmsk, nous avons choisi une structure de recepteur sans changement de frequence intermediaire. La demodulation coherente retenue est realisee par une structure i/q analogique. Les modules necessaires pour le recepteur sont developpes en technologie hybrides, avec des adaptations en lignes microruban. Cette technologie permet une optimisation de la consommation de chacun des modules. Pour cela, nous avons utilise la simulation hyperfrequence. Les circuits aux hautes-frequences comprennent un filtre passe-bande, un diviseur de puissance, un coupleur directif, un amplificateur et un melangeur. Les circuits aux basses-frequences se limitent au filtre actif passe-bas et a la sortie du melangeur. La structure sans changement de frequence intermediaire impose au sein du melangeur, la cohabitation entre les frequences hautes a 1,8ghz et les frequences basses inferieures a 1mhz. Cette contrainte modifie la problematique de conception. Tous les couplages et decouplages du melangeur et des modules en amont et en aval doivent etre modifies. Les interactions fortes imposent une conception d'ensemble. La realisation d'un prototype a valide notre choix d'une structure sans changement de frequence intermediaire, combinee a l'utilisation de circuits hybrides en lignes microruban. Le gain en consommation est de 60% par rapport aux structures actuelles. Des modifications sont envisagees pour permettre l'utilisation de cette architecture au niveau industriel.
Styles APA, Harvard, Vancouver, ISO, etc.
12

Patrigeon, Guillaume. « Systèmes intégrés adaptatifs ultra basse consommation pour l’Internet des Objets ». Thesis, Montpellier, 2020. http://www.theses.fr/2020MONTS036.

Texte intégral
Résumé :
L’Internet des Objets est une infrastructure permettant d’interconnecter des objets pour la réalisation de services évolués. Utilisée pour des applications très variées, les solutions engagées sont très diverses. On retrouve cependant une architecture typique décomposée en trois couches : la couche de perception, la couche de transport et la couche de services. Les dispositifs de la couche de perception, appelés « nœuds capteur », répondent à des contraintes de taille, de sécurité, de fiabilité, d’autonomie et de longue durée de vie. L’efficacité énergétique des nœuds est cependant la contrainte majeure à laquelle les solutions technologiques actuelles trouvent leurs limites. De nouvelles solutions et stratégies sont proposées pour répondre à ce défi, mais comment les évaluer, avec quels outils et à quelle échelle ? Comment utiliser efficacement les technologies émergentes et optimiser leur intégration dans les microcontrôleurs pour les applications de l’Internet des Objets ? Quelles nouvelles stratégies de gestion de l’énergie nous apportent des technologies telles que la FD-SOI 28 nm et les mémoires non-volatiles, et quelles sont leurs limites ? Sont-elles suffisantes et adaptées ?Pour étudier l’intégration de technologies émergentes dans les microcontrôleurs, nous avons mis en place une méthodologie d’évaluation, à partir d’une plate-forme de prototypage de nœud capteur réalisée autour d’un FPGA. Capable d’opérer dans des réseaux déjà déployés, elle nous permet une évaluation rapide, fine, dans un contexte applicatif. Nous avons étudié le remplacement de l’architecture mémoire traditionnelle par différentes solutions intégrant des mémoires magnétiques non-volatiles de type STT, et constaté en intégrant cette technologie une amélioration significative de l’efficacité énergétique du microcontrôleur pour les applications embarquées
The Internet of Things is an infrastructure enabling advanced services by interconnecting things. Although the large variety of Internet of Things applications involve many kinds of technical solutions, many of those are based on a typical architecture that can be divided in three layers: the perception layer, the transport layer and the services layer. The dispositive that composed the perception layer, called “sensor nodes”, are subject of technical requirements: size, security, reliability, autonomous, and long lifetime. Sensor nodes’ energy efficiency is the most critical point where traditional technologies show their limitations. New strategies and solutions are proposed to overcome this technical challenge; however, how can those be evaluated, with which tools and at which level? How emerging technologies can be optimized and integrated inside microcontrollers for Internet of Things applications? Which are the new strategies for energy management to adopt with technologies such as 28 nm FD-SOI and non-volatiles memories? What are their limitations? Will they be sufficient?To evaluate the integration of emerging technologies inside low power microcontrollers, we propose a new methodology using an FPGA-based sensor node prototyping platform. Able to operate in already deployed wireless sensor networks, we use it to perform fast and precise evaluations, taking account of the application context. We studied and evaluated multiple memory architecture configurations based on STT magnetic memories as a replacement of traditional solutions, and showed that the non-volatile STT memory technology can improve a microcontroller’s energy efficiency for embedded applications
Styles APA, Harvard, Vancouver, ISO, etc.
13

Kelemen, Gabor. « Conception des circuits intégrés pour la basse consommation : méthodes comparées / ». Paris : École nationale supérieure des télécommunications, 1997. http://catalogue.bnf.fr/ark:/12148/cb36168763c.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
14

Chlela, Fadi. « Développement d'une méthodologie de conception de bâtiments à basse consommation d'énergie ». Phd thesis, Université de La Rochelle, 2008. http://tel.archives-ouvertes.fr/tel-00271813.

Texte intégral
Résumé :
En France, le secteur du bâtiment est le plus gros consommateur d'énergie parmi les secteurs économique, avec 43% de l'énergie finale totale et 25% des émissions de CO2. Il s'avère donc nécessaire de réduire l'impact environnemental de ce secteur en promouvant la construction des bâtiments neufs et la rénovation thermique des bâtiments existants, selon les critères des bâtiments à basse consommation d'énergie.

L'objectif de ce travail est de développer une méthodologie pour réaliser des études de conception de bâtiments à basse consommation d'énergie. La méthodologie consiste à déterminer des modèles polynômiaux pour l'évaluation des performances énergétique et du confort thermique d'été des bâtiments, à l'aide de la méthode des plans d'expériences et des outils de simulation numérique. Ces modèles polynômiaux permettent de simplifier les études paramétriques, en apportant une réponse alternative aux outils de simulations numériques pour la recherche de solutions afin de concevoir des bâtiments à basse consommation d'énergie. La méthodologie est appliquée sur un bâtiment tertiaire à savoir un immeuble de bureaux.

Dans le premier chapitre, nous présentons l'état de l'art des bâtiments à basse consommation d'énergie et à énergie positive, dans le but de dresser un bilan de connaissances sur le contexte énergétique français, sur les labels mis en place en France et à l'étranger, sur les projets réalisés et sur les techniques utilisées pour concevoir des bâtiments à basse consommation d'énergie.

Ensuite, nous nous focalisons dans le second chapitre, sur le développement de modèles numérique nécessaires à l'élaboration de la méthodologie. Les modèles sont développés dans l'environnement MATLAB/SIMULINK et intégrés dans la bibliothèque SIMBAD, dédiée à la simulation numérique en thermique du bâtiment afin de participer à son développement. De plus, nous présentons des études d'évaluation énergétiques de systèmes spécifiques aux bâtiments à basse consommation d'énergie qui illustrent l'utilisation des modèles numériques développés.

Un cas d'étude est défini dans le troisième chapitre ainsi que les contextes climatiques à considérer, les principes de base de la méthode des plans d'expériences et un exemple de son application. Le cas d'étude considéré est un immeuble de bureaux, nommé Beethoven, dont les caractéristiques de base seront choisies selon les exigences de la réglementation thermique. Ces caractéristiques constituent la configuration de référence qui est améliorée en suivant la méthodologie développée. L'analyse des huit zones climatiques définies par la réglementation thermique et l'évaluation des performances énergétiques du bâtiment pour la configuration de référence par rapport à ces climats, permettent de sélectionner trois climats représentatifs pour la suite du travail. Enfin, un exemple d'application de la méthode des plans d'expériences pour une optimisation énergétique de la configuration de référence permet de justifier le choix de cette méthode.

Le début du quatrième chapitre est consacré au développement des modèles polynômiaux pour l'évaluation des performances énergétique et du confort thermique d'été du bâtiment Beethoven. Nous débutons ce chapitre par une évaluation des limites de la méthode des plans d'expériences pour déterminer ces modèles polynômiaux. Il en découle une méthodologie générale d'application de la méthode des plans d'expériences afin de développer des modèles polynômiaux pour réaliser des études de conception de bâtiment à basse consommation d'énergie. Ensuite, nous effectuons, à l'aide de ces modèles, une étude de sensibilité pour le bâtiment Beethoven et une analyse de solutions pour concevoir un bâtiment à basse consommation d'énergie selon divers critères énergétiques.

Dans le dernier chapitre, nous présentons un exemple d'application des modèles polynômiaux développés pour identifier des solutions pour la conception de l'enveloppe et des systèmes du bâtiment Beethoven, afin d'obtenir un bâtiment à basse consommation d'énergie, selon les critères du label Français Effinergie et du label Allemand Passivhaus. Les configurations basse consommation d'énergie obtenues sont comparées par rapport à la configuration de référence en termes de performances énergétiques, de confort thermique d'été et d'émissions CO2.

La méthodologie que nous proposons permet d'identifier, de manière simple et rapide, des solutions pour concevoir des bâtiments à basse consommation d'énergie. Les solutions sont sélectionnées à l'aide d'abaques définis avec les modèles polynomiaux développés. Le niveau de précision constaté par rapport à la simulation numérique est appréciable. Le choix des solutions est effectué parmi des millions de configurations de facteurs, déterminées à l'aide des modèles polynômiaux. La détermination de toutes ces configurations serait difficile voire impossible à réaliser directement à l'aide de la simulation numérique, sans avoir recours à des modèles polynomiaux, d'où l'avantage d'une telle méthodologie.

Enfin, cette méthodologie constitue une base robuste pour le développement d'outils d'aide à la décision, destinés aux différents acteurs du secteur du bâtiment pour la conception des bâtiments neufs et la rénovation thermique des bâtiments existants, selon les critères des bâtiments à basse consommation d'énergie.
Styles APA, Harvard, Vancouver, ISO, etc.
15

Blervaque, Hubert. « Règles de modélisation des systèmes énergétiques dans les bâtiments basse consommation ». Thesis, Paris, ENMP, 2014. http://www.theses.fr/2014ENMP0032/document.

Texte intégral
Résumé :
La réduction des besoins dans les bâtiments à basse consommation d'énergie (BBC) nécessite un réexamen de l'approche de modélisation des systèmes énergétiques dans les outils de simulation. L'approche proposée repose sur une modélisation plus fine des phénomènes physiques incluant la régulation en boucle fermée du système énergétique couplé au bâtiment. A partir de l'identification des phénomènes propres au comportement énergétique des BBC, des recommandations, ou règles de modélisation, sont établies pour le développement des modèles de leurs systèmes énergétiques. Ces recommandations sont mises en application dans deux études. Tout d'abord, une simulation dynamique d'un bâtiment et de son système conduit à un dimensionnement plus adapté comparé aux méthodes classiques dans le cas de BBC avec des répercussions sur les appels de puissance et la consommation d'énergie. Ensuite, une analyse de sensibilité par la méthode de Morris sur une représentation générique du système énergétique a permis d'identifier les paramètres nécessitant d'être connus avec précision. La différence entre l'approche développée et la simulation horaire avec régulation idéale n'est que de quelques pourcents en besoins énergétiques pour un bâtiment existant mais elle passe à plus de 20% dans un bâtiment BBC. Un écart du même ordre de grandeur peut être identifié pour la détermination de la performance énergétique globale du système par une prise en compte plus détaillée des phénomènes de cyclage, de charge partielle ou de consommation des auxiliaires
The decrease of heat demands in low energy buildings requires to examine again modeling approaches in building energy simulation tools. The developed approach is based on a more accurate modeling of physical phenomena including the closed loop control between the HVAC system and the building. From the identification of the phenomena that specifically impact the energy behavior of the low energy buildings, some recommendation, or modeling rule, are established for the development of their HVAC systems. Those recommendations are applied in two case studies. Firstly, a dynamic simulation of a building and its system offers a better evaluation of the design power for a low energy building, affecting power demands and energy consumption. Then, a sensitivity analysis from Morris method on a generic representation of the HVAC system identifies the parameters to be accurately known. The difference between the developed approach and an hourly simulation with an ideal control is low for the evaluation of the heat load in an existing building but it is more than 20% in a low energy buildings. A difference of the same order of magnitude can be identified in the determination of the overall energy performance of the system by a more detailed consideration of the phenomena of cycling, the part load or the consumption of auxiliaries
Styles APA, Harvard, Vancouver, ISO, etc.
16

Zielinski, Mateusz. « Système distribué actif sans fil basse consommation pour l'amortissement des vibrations ». Thesis, Ecully, Ecole centrale de Lyon, 2015. http://www.theses.fr/2015ECDL0029/document.

Texte intégral
Résumé :
Depuis des siècles nous utilisons des véhicules équipés des systèmes de suspension de vibrations. Ils permettent d'avoir un confort acceptable et ajoutent de la sécurité à la conduite. Les nouveaux systèmes installés dans les véhicules sont des systèmes actifs. Ils peuvent être adaptés selon les exigences en temps réel. Ces types de systèmes sont utilisés pour l'amortissement de vibrations et pour l’isolation vibro-acoustique. Dans la thèse nous présentons une nouvelle approche d'un système adaptatif pour les applications automobiles. Nous faisons l'hypothèse qu’un portage d'un système centralisé en système distribué peut améliorer son efficacité. Nous proposons un réseau de capteurs sans fil pour l’amortissement de vibrations dans les applications automobiles. Un capteur du réseau est capable de mesurer des vibrations, d’amortir des vibrations et de récupérer l’énergie depuis les vibrations en utilisant un seul élément piézoélectrique (la méthode Serial-SSHI). Ensuite nous validons le réseau de capteurs sur une structure mécanique de type plaque. Les mesures sont comparées avec des simulations d’éléments finis. Les résultats des mesures et des simulations confirment le choix des solutions. Le nœud du réseau fournit ses fonctionnalités destinées avec une efficacité acceptable. Nous validons la récupération d’énergie depuis les vibrations et la mesure des vibrations. Ensuite nous validons un effet local d’amortissement de vibrations et un effet global (le réseau de capteurs permet d’avoir une action d’amortissement complémentaire)
For centuries we have used vehicles equipped with the vibration suspension systems. These systems are used to provide comfort and safety. Nowadays we are implementing the active systems which can be adapted according to the real-time requirements. These types of systems are used to damp vibrations and to provide noise and vibration insulation. In the thesis we present a new approach of an adaptive system for automotive applications. We assume that a porting of a centralized system in a distributed system can improve its effectiveness. We offer a wireless sensor network for damping vibration in automotive applications. A network sensor is able to measure the vibrations, damp the vibrations and energy harvesting from vibrations by using a single piezoelectric element (Serial-SSHI method). We validate the network of nodes on a mechanical structure. The measurements are compared with finite element simulations. The results of measurements and simulations confirm the choice of solutions. The network node provides designed functionality with acceptable efficiency. We also validate the energy harvesting and the vibration measurements. The outcome of the work confirm a local effect of vibrations damping and a global effect (the designed Wireless Sensor Network provides a supplementary damping action)
Styles APA, Harvard, Vancouver, ISO, etc.
17

Leroux, Guilian. « Etude d'un système innovant de rafraîchissement basse consommation pour le bâtiment ». Thesis, Université Grenoble Alpes (ComUE), 2016. http://www.theses.fr/2016GREAA015/document.

Texte intégral
Résumé :
Pour faire face à la forte augmentation de la consommation en climatisation et la consommation électrique associée, il est nécessaire de développer des systèmes de rafraîchissement basse consommation de bâtiment. Ce travail propose un nouveau système de rafraîchissement qui se veut économe en énergie, peu coûteux et simple à installer. Il associe les techniques de dissipation de chaleur par évaporation, rayonnement vers le ciel et géothermie. Ce système est constitué d'un réservoir poreux installé en extérieur et d'un réservoir de stockage placé dans le vide sanitaire. Lorsque le bâtiment a besoin de rafraîchissement, une pompe puise de l'eau fraîche dans le stockage, la fait passer dans le plancher rafraîchissant pour absorber la chaleur excédentaire du bâtiment puis stocke l'eau dans le réservoir poreux placé à l'extérieur. Le réservoir poreux refroidit l'eau qu'il contient par évaporation, rayonnement vers le ciel puis se vide dans le stockage. Le réservoir de stockage installé dans le vide sanitaire se refroidit aussi en continu grâce au contact direct avec le sol. Les propriétés poreuses et la géométrie du réservoir poreux influent fortement sur ses performances de refroidissement. Une étude paramétrique menée avec un modèle numérique simulant les transferts hydriques et thermique permet de choisir un réservoir adéquat pour cette application. Un réservoir poreux donnant de bonnes performances (70 W/m2 de puissance évaporative) a été identifié. Le système de rafraîchissement a été installé et testé expérimentalement sur une maison à échelle réelle à Bordeaux. Mis en service durant l'été 2015, le système a fonctionné de façon autonome durant 44 jours. L'utilisation de ce système a permis de maintenir durant la période de test un très bon confort thermique à l'intérieur d'un bâtiment expérimental bien isolé, non ventilé, avec des apports solaires, tout en ayant une consommation électrique faible (le COP moyen du système est de 20.8). Un modèle numérique du système complet a été développé sous Modelica, calibré sur les mesures expérimentales puis couplé à un modèle de bâtiment. Les résultats de simulation montrent que l'installation de ce système améliore nettement le confort intérieur du bâtiment sur l'ensemble de l'été pour toutes les configurations testées (climat, gestion des voletsdots). Un système aux dimensions optimisées (avec un stockage de 2.2 m3 et un réservoir poreux de 0.215 m3), installé sur une maison individuelle type RT2012 de 100 m2 à Bordeaux, fonctionne avec un COP moyen de 24 et permet de maintenir un bon niveau de confort à l'intérieur du bâtiment tout l'été
To face the dramatic increase of energy consumption due to air conditioning use in buildings, new low energy consumption systems need to be developed. This work proposes a new cooling system which aims to be energy efficient, cheap and easy to install. This system takes advantage of evaporation cooling, ground earth cooling and sky radiative cooling techniques. The two main components of this new system are a porous tank set outside and a storage tank set in the basement of the building. When the inside house temperature exceeds the comfort temperature, cool water passes from the storage tank through the cooling floor, removes heat from the building and is then send to the porous tank. The water contained in the porous tank is cooled down due to evaporation and radiative effects and then flows back to the storage. The storage tank installed in the basement enables further cooling of the water thanks to direct contact with the ground. Porous properties and geometry of the tank have a great influence on the cooling performances of the tank. A heat and mass transfer model has been developed to simulate the thermal and hydric behavior of the tank. This model has been used to choose an appropriate tank. A tank giving good performances (70 W/m2 of evaporative power) is identified. The complete cooling system has been installed on a house in Bordeaux and tested at real scale during an experimental campaign. The system worked for 44 days during summer 2015 and allowed to maintain a very good thermal comfort level in the experimental building (insulated, with solar load and without ventilation). Its very low electricity needs brings the average coefficient of performance of the system to 20.8. A numerical model of the system has been developed, calibrated with experimental data and coupled with a building model. Simulation results show that for all tested configurations (climate, shading…), the system clearly improves the thermal comfort in the building. Optimized sizing, keepinp reasonnable tank sizes (storage and evaporator volumes of 2.2 m3 and 0.215 m3 respectively), shows that this system works with an average COP of 24 and maintains a good comfort level in an individual house of 100 m2 located in Bordeaux
Styles APA, Harvard, Vancouver, ISO, etc.
18

Bui, Duy-Hieu. « Système avancé de cryptographie pour l'internet des objets ultra-basse consommation ». Thesis, Université Grenoble Alpes (ComUE), 2019. http://www.theses.fr/2019GREAT001/document.

Texte intégral
Résumé :
L'Internet des objets (IoT : Internet-of-Things) a été favorisé par les progrès accélérés dans les technologies de communication, les technologies de calcul, les technologies de capteurs, l'intelligence artificielle, l'informatique en nuage et les technologies des semi-conducteurs. En générale, l'IoT utilise l'informatique en nuage pour traitant les données, l'infrastructure de communication (y compris l’Internet) et des nœuds de capteurs pour collecter des données, de les envoyer de l'infrastructure du réseau à l’Internet, et de recevoir des commandes pour réagir à l'environnement. Au cours de ses opérations, l'IoT peut collecter, transmettre et traiter des données secrètes ou privées, ce qui pose des problèmes de sécurité. La mise en œuvre des mécanismes de sécurité pour l'IoT est un défi, car les organisations de l’IoT incluent des millions de périphériques intégrés à plusieurs couches, chaque couche ayant des capacités de calcul et des exigences de sécurité différentes. En outre, les nœuds de capteurs dans l'IoT sont conçus pour être des périphériques limités par une batterie, avec un budget de puissance, des calculs et une empreinte mémoires limités pour réduire les coûts d’implémentation. L'implémentation de mécanismes de sécurité sur ces appareils rencontre même plus de défis. Ce travail est donc motivé pour se concentrer sur l’implémentation du cryptage des données afin de protéger les nœuds et les systèmes de capteurs IoT en tenant compte du coût matériel, du débit et de la consommation d’énergie. Pour commencer, un crypto-accélérateur de chiffrement de bloc ultra-basse consommation avec des paramètres configurables est proposé et implémenté dans la technologie FDSOI ST 28 nm dans une puce de test, qui est appelée SNACk, avec deux modules de cryptographie : AES et PRESENT. L’AES est un algorithme de cryptage de données largement utilisé pour l’Internet et utilisé actuellement pour les nouvelles propositions IoT, tandis que le PRESENT est un algorithme plus léger offrant un niveau de sécurité réduit mais nécessitant une zone matérielle beaucoup plus réduite et une consommation très bas. Le module AES est une architecture de chemin de données 32 bits contenant plusieurs stratégies d'optimisation prenant en charge plusieurs niveaux de sécurité, allant des clés 128 bits aux clés 256 bits. Le module PRESENT contient une architecture à base arrondie de 64 bits pour optimiser son débit. Les résultats mesurés pendant cette thèse indiquent que ce crypto-accélérateur peut fournir un débit moyen (environ 20 Mbits/s au 10 MHz) tout en consommant moins de 20 µW dans des conditions normales et une sous-pJ d’énergie par bit. Cependant, la limitation du crypto-accélérateur réside dans le fait que les données doivent être lues dans le crypto-accélérateur et réécrites en mémoire, ce qui augmente la consommation d'énergie. Après cela, afin de fournir un haut niveau de sécurité avec une flexibilité et une possibilité de configuration pour s’adapter aux nouvelles normes et pour atténuer les nouvelles attaques, ces travaux portent sur une approche novatrice de mise en œuvre de l’algorithme de cryptographie utilisant la nouvelle SRAM proposée en mémoire. Le calcul en mémoire SRAM peut fournir des solutions reconfigurables pour mettre en œuvre diverses primitives de sécurité en programmant les opérations de la mémoire. Le schéma proposé consiste à effectuer le chiffrement dans la mémoire en utilisant la technologie Calcul en Mémoire (In-Memory-Computing). Ce travail illustre deux mappages possibles de l'AES et du PRESENT à l'aide du calcul en mémoire
The Internet of Things (IoT) has been fostered by accelerated advancements in communication technologies, computation technologies,sensor technologies, artificial intelligence, cloud computing, and semiconductor technologies. In general, IoT contains cloud computing to do data processing, communication infrastructure including the Internet, and sensor nodes which can collect data, send them through the network infrastructure to the Internet, and receive controls to react to the environment. During its operations, IoT may collect, transmit and process secret data, which raise security problems. Implementing security mechanisms for IoT is challenging because IoT organizations include millions of devices integrated at multiple layers, whereas each layer has different computation capabilities and security requirements. Furthermore, sensor nodes in IoT are intended to be battery-based constrained devices with limited power budget, limited computation, and limited memory footprint to reduce costs. Implementing security mechanisms on these devices even encounters more challenges. This work is therefore motivated to focus on implementing data encryption to protect IoT sensor nodes and systems with the consideration of hardware cost, throughput and power/energy consumption. To begin with, a ultra-low-power block cipher crypto-accelerator with configurable parameters is proposed and implemented in ST 28nm FDSOI technology in SNACk test chip with two cryptography modules: AES and PRESENT. AES is a widely used data encryption algorithm for the Internet and currently used for new IoT proposals, while PRESENT is a lightweight algorithm which comes up with reduced security level but requires with much smaller hardware area and lower consumption. The AES module is a 32-bit datapath architecture containing multiple optimization strategies supporting multiple security levels from 128-bit keys up to 256-bit keys. The PRESENT module contains a 64-bit round-based architecture to maximize its throughput. The measured results indicate that this crypto-accelerator can provide medium throughput (around 20Mbps at 10MHz) while consumes less than 20uW at normal condition and sub-pJ of energy per bit. However, the limitation of crypto-accelerator is that the data has to be read into the crypto-accelerator and write back to memory which increases the power consumption. After that, to provide a high level of security with flexibility and configurability to adapt to new standards and to mitigate to new attacks, this work looks into an innovative approach to implement the cryptography algorithm which uses the new proposed In-Memory-Computing SRAM. In-Memory Computing SRAM can provide reconfigurable solutions to implement various security primitives by programming the memory's operations. The proposed scheme is to carry out the encryption in the memory using the In-Memory-Computing technology. This work demonstrates two possible mapping of AES and PRESENT using In-Memory Computing
Styles APA, Harvard, Vancouver, ISO, etc.
19

Lacruche, Marc. « Caractérisation sécuritaire de circuits basse-consommation face aux attaques par laser ». Thesis, Aix-Marseille, 2016. http://www.theses.fr/2016AIXM4331/document.

Texte intégral
Résumé :
La minimisation de la consommation d'énergie est primordiale lors de la conception de circuits. Cependant, il est nécessaire de s'assurer que cela ne compromette pas la sécurité des circuits. Et ce particulièrement face aux attaques physiques, les appareils mobiles étant des cibles idéales pour ces dernières.Ce travail vise à évaleur l'impact du body-biasing sur la résistance des circuits aux attaques laser. Ces techniques permettent d'ajuster dynamiquement le ratio consommation/performance d'un circuit en modifiant la tension de polarisation des caissons. Le manuscrit se découpe en quatre chapitres. Il commence par un état de l'art. Puis, le banc de test laser utilisé est présenté ainsi que le travail effectué pour permettre son automatisation et une première étude sur l'impact des impulsions laser de courte durée sur les mémoires SRAM. Le troisième chapitre rapporte les résultats d'une campagne d'injection de faute laser sur des mémoires soumises au body-biasing. Celle-ci permet de mettre en évidence une augmentation de la sensibilité au laser des circuits lorsque leur tension d'alimentation est réduite et que le Forward Body Biasing est utilisé. A partir de ces résultats, le dernier chapitre propose une méthode utilisant les capacités basse-consommation d'un microcontrôleur pour durcir un AES matériel. Ces travaux permettent ainsi de montrer que les techniques de réduction de la consommation peuvent constituer un risque sécuritaire potentiel si elle ne sont pas prises en compte correctement. Cependant, les capacités apportées au circuit dans ce cadre peuvent être détournées pour améliorer sa résistance aux attaques
The increasing complexity of integrated circuits and the explosion of the number of mobile devices today makes power consumption minimisation a priority in circuit design. However, it is necessary to make sure that it does not compromise the security of sensitive circuits. In this regard, physical attacks are a particular concern, as mobile devices are ideal targets for these attacks.This work aims at evaluating the impact of body-biasing on circuit vulnerability to laser attacks. These methods allow to dynamically adjust the performance/consumption ratio of a circuit by modifying the bias voltage of the body. It is divided in four chapters. It begins by introducing cryptography, physical attacks and low power design methods. Then the test bench used during this thesis is described, as well as the developpement work done in order to allow its automation. Then an initial study of the impact of short duration laser pulses on SRAM memories is presented. The third chapter reports the results of a laser fault injection campaign on memories subjected to Forward Body-Biasing. The results show a sensitivy increase of the circuits when supply voltage is lowered and FBB is activated. Based on these results, the last chapter introduces a method using the body-biasing and voltage scaling capabilities of a microcontroller to harden a hardware AES embedded on the latter.In conclusion, this works shows that low-power design methods can induce additional security risks if they are not carefully taken into account. However the additional capabilities of the circuits intended for power consumption reduction can be used in a different way to enhance device resillience to attacks
Styles APA, Harvard, Vancouver, ISO, etc.
20

Lacruche, Marc. « Caractérisation sécuritaire de circuits basse-consommation face aux attaques par laser ». Electronic Thesis or Diss., Aix-Marseille, 2016. http://www.theses.fr/2016AIXM4331.

Texte intégral
Résumé :
La minimisation de la consommation d'énergie est primordiale lors de la conception de circuits. Cependant, il est nécessaire de s'assurer que cela ne compromette pas la sécurité des circuits. Et ce particulièrement face aux attaques physiques, les appareils mobiles étant des cibles idéales pour ces dernières.Ce travail vise à évaleur l'impact du body-biasing sur la résistance des circuits aux attaques laser. Ces techniques permettent d'ajuster dynamiquement le ratio consommation/performance d'un circuit en modifiant la tension de polarisation des caissons. Le manuscrit se découpe en quatre chapitres. Il commence par un état de l'art. Puis, le banc de test laser utilisé est présenté ainsi que le travail effectué pour permettre son automatisation et une première étude sur l'impact des impulsions laser de courte durée sur les mémoires SRAM. Le troisième chapitre rapporte les résultats d'une campagne d'injection de faute laser sur des mémoires soumises au body-biasing. Celle-ci permet de mettre en évidence une augmentation de la sensibilité au laser des circuits lorsque leur tension d'alimentation est réduite et que le Forward Body Biasing est utilisé. A partir de ces résultats, le dernier chapitre propose une méthode utilisant les capacités basse-consommation d'un microcontrôleur pour durcir un AES matériel. Ces travaux permettent ainsi de montrer que les techniques de réduction de la consommation peuvent constituer un risque sécuritaire potentiel si elle ne sont pas prises en compte correctement. Cependant, les capacités apportées au circuit dans ce cadre peuvent être détournées pour améliorer sa résistance aux attaques
The increasing complexity of integrated circuits and the explosion of the number of mobile devices today makes power consumption minimisation a priority in circuit design. However, it is necessary to make sure that it does not compromise the security of sensitive circuits. In this regard, physical attacks are a particular concern, as mobile devices are ideal targets for these attacks.This work aims at evaluating the impact of body-biasing on circuit vulnerability to laser attacks. These methods allow to dynamically adjust the performance/consumption ratio of a circuit by modifying the bias voltage of the body. It is divided in four chapters. It begins by introducing cryptography, physical attacks and low power design methods. Then the test bench used during this thesis is described, as well as the developpement work done in order to allow its automation. Then an initial study of the impact of short duration laser pulses on SRAM memories is presented. The third chapter reports the results of a laser fault injection campaign on memories subjected to Forward Body-Biasing. The results show a sensitivy increase of the circuits when supply voltage is lowered and FBB is activated. Based on these results, the last chapter introduces a method using the body-biasing and voltage scaling capabilities of a microcontroller to harden a hardware AES embedded on the latter.In conclusion, this works shows that low-power design methods can induce additional security risks if they are not carefully taken into account. However the additional capabilities of the circuits intended for power consumption reduction can be used in a different way to enhance device resillience to attacks
Styles APA, Harvard, Vancouver, ISO, etc.
21

Turier, Arnaud. « Etude, conception et caractérisation de mémoires Cmos, faible consommation, faible tension en technologies submicroniques ». Paris 6, 2000. http://www.theses.fr/2000PA066543.

Texte intégral
Styles APA, Harvard, Vancouver, ISO, etc.
22

GENG, PENG. « Etude et realisation d'un synthetiseur de frequence basse consommation pour radiotelephone mobile ». Paris 6, 1996. http://www.theses.fr/1996PA066158.

Texte intégral
Résumé :
Le sujet de cette these est consacre a la realisation d'un synthetiseur de frequence basse consommation qui est utilise dans le recepteur des systemes mobiles. Le but poursuivi durant ce travail est de minimiser la consommation du synthetiseur de frequence, ainsi que la consommation du recepteur. L'etude de la specification des normes europeennes, et des structures des recepteurs correspondants, a montre l'importance du synthetiseur de frequence dans le cadre de la realisation des recepteurs. La partie preponderante de ce systeme est evidemment l'oscillateur commande en tension qui determine les performances principales du synthetiseur de frequence. L'aspect theorique de la conception de l'oscillateur et l'aspect technologique de la ligne microbande sont detailles et approfondis. Le transistor bipolaire et le varactor a jonction hyperabrupte ont ete retenus pour optimiser la consommation de l'oscillateur tout en respectant les caracteristiques indispensables. L'oscillateur commande en tension ainsi realise est inclus dans une boucle a verrouillage de phase controlee numeriquement en cherchant a optimiser la purete spectrale et la consommation. La conception et l'experience ont montre la faisabilite d'un oscillateur commande en tension en utilisant la technologie des lignes microbandes. Ceci permet d'obtenir une consommation reduite. L'utilisation d'un tel synthetiseur de frequence dans des recepteurs permet l'amelioration de l'autonomie du terminal
Styles APA, Harvard, Vancouver, ISO, etc.
23

Ernst, Thomas. « Etude des structures MOSFET avancées sur SOI pour les applications basse consommation ». Grenoble INPG, 2000. http://www.theses.fr/2000INPG0118.

Texte intégral
Résumé :
Cette etude, a but prospectif, s'attache a concevoir et comparer differentes architectures mosfet dans la perspective d'applications basse tension et basse puissance. Un premier chapitre introductif met en evidence les enjeux de ces applications ainsi que les proprietes essentielles des materiaux et des structures mos soi. Dans le deuxieme chapitre, nous proposons un modele inedit du courant de recombinaison dans les diodes pin a simple et double grille, pouvant etre introduit sous une forme compacte dans les simulateurs de dispositifs, ou utilise en caracterisation fine de la qualite des interfaces et du materiau. Les effets de couplage bidimensionnel, ou effets de canal court, dans l'oxyde et le substrat enterres, ainsi que leur influence sur le courant de fuite du canal sont modelises dans le chapitre iii, en utilisant des outils mathematiques adaptes, et pour la premiere fois de maniere non empirique. Cette etude permet de suggerer des optimisations architecturales telles que : l'utilisation de films minces peu dopes, ou de plans de masse. Les transistors mos a film mince ou ultra-mince, permettant une reduction d'echelle encore plus poussee, presentent quant a eux des proprietes de conduction particulieres dues notamment a l'effet du confinement sur la repartition de la charge et de la mobilite. Cet effet du confinement dans des films d'epaisseur minimale de 3 nm est etudie analytiquement et experimentalement au chapitre iv. Enfin, le phenomene de tension de seuil dynamique, qui reduit notablement la tension de seuil de transistor mos a l'etat passant, est analyse au cours du chapitre v sur les structures dt-mos et partiellement desertee a substrat flottant. Pour ces derniers dispositifs, nous proposons une methode de mesure en frequence a courant moyen et mettons en evidence un phenomene transitoire de generation atypique, dont la dependance en frequence est mise en evidence.
Styles APA, Harvard, Vancouver, ISO, etc.
24

Roudet, Fabrice. « Communication radiofréquence à très basse consommation d'énergie dans un environnement hautement perturbé ». Grenoble INPG, 2008. http://www.theses.fr/2008INPG0090.

Texte intégral
Résumé :
Les nouvelles habitudes nées de la disponibilité à disposer de moyens de communication sans fil seront exprimés demain dans le monde industriel. Il en découlera de nouvelles opportunités de développement mais également de nouveaux challenges à résoudre : comment choisir la technologie de communication la mieux adaptée à un produit ? Comment améliorer la robustesse d’un système sans pénaliser son coût ? Ou encore comment concilier sécurité et interopérabilité ? … Ce mémoire apporte une contribution au développement d'un produit nécessitant d’établir une communication sans fil dans un environnement industriel hautement perturbé : une armoire électrique. Parmi toutes les technologies de communication sans fil existantes, c’est la RFID qui a été retenue. Bien qu’étant faite pour la traçabilité des objets, cette technologie présente plusieurs avantages pour notre application : téléalimentation, faible encombrement et bas coût. Après adaptation à notre environnement, nous avons détourné l’usage de la RFID afin d’utiliser les tags comme des « capteurs ».
Styles APA, Harvard, Vancouver, ISO, etc.
25

Calenzo, Patrick. « Développement de nouvelles architectures mémoires non volatiles bas coût et basse consommation ». Aix-Marseille 1, 2009. http://www.theses.fr/2009AIX11051.

Texte intégral
Résumé :
Les objectifs de cette thèse sont de concevoir et de développer des mémoires non volatiles à grille flottante qui répondent aux critères de bas coût et basse consommation compatibles avec un procédé CMOS logique. Afin de réaliser cela, un état de l’art a mis en exergue les cellules les plus « performantes » dans cette technologie. Ceci a mis en évidence les qualités et les défauts de chacune d’entre elles et a permis de cibler les points principaux à respecter et servira d’étalon pour développer les cellules mémoires de ce travail. A la suite de cela, une méthodologie de calibration, utilisable pour n’importe quel dispositif à semi-conducteur, a été développée. Cette méthodologie a été mise en pratique sur une cellule EEPROM, qui a servi de base pour la conception des cellules mémoires de ce manuscrit. Ensuite, l’étude d’une cellule mémoire simple poly-silicium double implant a été exposée. Cette cellule a été développée de son concept de fonctionnement jusqu’à sa validation électrique sur silicium. Le procédé de fabrication proposé permet de réaliser une cellule mémoire de type simple poly-silicium dans une technologie CMOS logique. De plus, la consommation de cette cellule s’accorde parfaitement avec les critères de basse consommation. Enfin, cette cellule présente une taille de seulement 1,1 μm² dans une technologie 0,13 μm ce qui en fait la plus petite qu’il soit pour ce type mémoire. Parallèlement à ce travail, une autre cellule simple poly-silicium, qui se décline en deux versions, a été proposée. Ces cellules possèdent la particularité d’être réalisées dans une tranchée d’isolation. Ceci renforce la notion de faible coût car, tout en restant compatible avec un procédé CMOS logique, la surface d’une cellule unitaire peut être réduite. Toutes les briques élémentaires pour concevoir la cellule sont validées électriquement et mettent en évidence des résultats très encouragents en ce qui concerne sa consommation en énergie. Tous les dispositifs présentés lors de ce travail ont révélé un comportement électrique intéressant. Les principales perspectives de ce travail seraient d’améliorer ces deux concepts afin de les industrialiser
The objectives of this thesis are to conceive and to develop non volatile memories with floating gate which are low cost, low voltage consumption and compatible with a CMOS standard logic process. In order to be carried out, a state of the art has put forth the cells which are “high-achieving” in this technology. This has permitted to see the qualities and the defects of the cells and enabled to target the main points which need careful consideration. From this onwards, a calibration methodology, usable for any semi conductor device, has been developed. This methodology was put into practice on an EEPROM cell, which served as the foundations for the development of the memory cells, throughout this paper. Furthermore, a single poly silicon double implant memory cell has been studied. This cell has been developed from its operating concept to its electric validation on silicon. The manufacturing process suggested gave way to a single poly-silicon memory cell in a CMOS logic technology. In addition, the cell consumption is in perfect accordance with the low voltage consumption criteria. Finally, this cell is interesting in regards to its size which is only 1,1 μm² in a technology of 0,13 μm. This makes it the smallest existing cell for this particular type of memory. In parallel to this work, another single poly silicon cell, which exists in two different versions, has been suggested. These cells have the particularity to be created in a shallow trench isolation. This reinforces the idea of low cost because the surface of the unit cell can be reduced but at the same time remains compatible with a CMOS standard logic process. All the basics needed to create this cell have been validated electrically and give way to encouraging energy consumption results. The outlook for this work would be to improve the two developed concepts in order to have them industrialized
Styles APA, Harvard, Vancouver, ISO, etc.
26

Bartoli, Jonathan. « Développement et caractérisation d'architectures mémoires non volatiles pour des applications basse consommation ». Thesis, Aix-Marseille, 2015. http://www.theses.fr/2015AIXM4373.

Texte intégral
Résumé :
Avec l'évolution des technologies et le développement des objets connectés, la consommation des circuits est devenue un sujet important. Dans cette thèse nous nous concentrons sur la consommation des mémoires non volatiles à piégeage de charge. Afin de diminuer la consommation, différentes architectures ont vu le jour comme les mémoires 2T ou Split Gate. Nous proposons deux nouvelles architectures de mémoires permettant la diminution de la consommation par rapport à une mémoire Flash standard. La première, appelée ATW (Asymmetrical Tunnel Window), est composée d'une marche d'oxyde au niveau de son oxyde tunnel qui lui permet d'être moins consommatrice qu'une mémoire Flash standard. Une seconde architecture mémoire appelée eSTM (embedded Select Trench Memory) est aussi présentée. Son principal atout est la présence de son transistor de sélection qui est indispensable pour avoir une faible consommation. Grâce à son architecture, cette cellule est bien meilleure que l'architecture proposée précédemment (ATW). Une dernière étude a été réalisée afin d'optimiser le procédé de fabrication de la mémoire eSTM pour le rendre plus robuste
With the evolution of technologies and the development of connected objects, the circuit consumption is becoming an important subject. In this thesis, we focus on the consumption of trap-charge non-volatile memories. To decrease the consumption, different architectures have emerged, like 2T or Split Gate memories. We propose two new memory architectures allowing to decrease the consumption compared to the standard Flash memory. The first, called ATW (Asymmetrical Tunnel Window), is composed of an oxide step in the tunnel oxide which allows to be less consumer than a standard Flash memory. A second memory architecture called eSTM (embedded Select Trench Memory) is also presented. Its main advantage is its select transistor which is essential to obtain a lower consumption. Thanks to its architecture, this cell is better than the previously proposed architecture (ATW). The last study has been performed to optimize the process flow of the eSTM memory to make it more robust
Styles APA, Harvard, Vancouver, ISO, etc.
27

Bartoli, Jonathan. « Développement et caractérisation d'architectures mémoires non volatiles pour des applications basse consommation ». Electronic Thesis or Diss., Aix-Marseille, 2015. http://www.theses.fr/2015AIXM4373.

Texte intégral
Résumé :
Avec l'évolution des technologies et le développement des objets connectés, la consommation des circuits est devenue un sujet important. Dans cette thèse nous nous concentrons sur la consommation des mémoires non volatiles à piégeage de charge. Afin de diminuer la consommation, différentes architectures ont vu le jour comme les mémoires 2T ou Split Gate. Nous proposons deux nouvelles architectures de mémoires permettant la diminution de la consommation par rapport à une mémoire Flash standard. La première, appelée ATW (Asymmetrical Tunnel Window), est composée d'une marche d'oxyde au niveau de son oxyde tunnel qui lui permet d'être moins consommatrice qu'une mémoire Flash standard. Une seconde architecture mémoire appelée eSTM (embedded Select Trench Memory) est aussi présentée. Son principal atout est la présence de son transistor de sélection qui est indispensable pour avoir une faible consommation. Grâce à son architecture, cette cellule est bien meilleure que l'architecture proposée précédemment (ATW). Une dernière étude a été réalisée afin d'optimiser le procédé de fabrication de la mémoire eSTM pour le rendre plus robuste
With the evolution of technologies and the development of connected objects, the circuit consumption is becoming an important subject. In this thesis, we focus on the consumption of trap-charge non-volatile memories. To decrease the consumption, different architectures have emerged, like 2T or Split Gate memories. We propose two new memory architectures allowing to decrease the consumption compared to the standard Flash memory. The first, called ATW (Asymmetrical Tunnel Window), is composed of an oxide step in the tunnel oxide which allows to be less consumer than a standard Flash memory. A second memory architecture called eSTM (embedded Select Trench Memory) is also presented. Its main advantage is its select transistor which is essential to obtain a lower consumption. Thanks to its architecture, this cell is better than the previously proposed architecture (ATW). The last study has been performed to optimize the process flow of the eSTM memory to make it more robust
Styles APA, Harvard, Vancouver, ISO, etc.
28

Tittelein, Pierre. « Environnements de simulation adaptés à l'étude du comportement énergétique des bâtiments basse consommation ». Phd thesis, Chambéry, 2008. http://tel.archives-ouvertes.fr/tel-00350664.

Texte intégral
Résumé :
En France, à partir de 2012, tous les bâtiments neufs devront répondre aux critères de basse consommation, c'est-à-dire qu'ils devront consommer moins de 50 kW.h/(m².an) en énergie primaire pour le chauffage, le refroidissement, la ventilation, la production d'eau chaude sanitaire et l'éclairage (à moduler selon la région et l'altitude). La simulation numérique a un rôle important à jouer pour atteindre cet objectif.
Les environnements de simulation énergétique existants ont été conçus pour des bâtiments classiques pour lesquels les consommations sont beaucoup plus importantes que celles fixées pour 2012, il faut donc voir si les modèles mais aussi les méthodes de simulations utilisés correspondent toujours aux spécificités de ces nouveaux bâtiments. L'objectif de ce travail est de montrer l'intérêt d'utiliser un environnement de simulation basé sur les systèmes d'équations pour étudier le comportement énergétique des bâtiments basse consommation.
Pour cela, plusieurs modèles ont été implémentés dans l'environnement SIMSPARK. Il s'agit d'un modèle de matériau à changement de phase, d'un modèle de prise en compte du rayonnement de courtes longueurs d'onde par calcul de la tache solaire et d'un modèle d'échangeur air-sol. Ils ont été intégrés dans un modèle global de bâtiment basse consommation ce qui a permis de montrer les avantages de l'environnement de simulation utilisé. Le fait qu'il soit orienté objet permet de valider indépendamment les nouveaux modèles puis de les intégrer facilement à un modèle de niveau hiérarchique supérieur. Le fait qu'il soit basé sur les systèmes d'équations a permis grâce à la non orientation a priori du modèle d'inverser le sens de résolution de plusieurs problèmes dans une simulation dynamique. Enfin, la robustesse des méthodes de résolution utilisées a été éprouvée.
Styles APA, Harvard, Vancouver, ISO, etc.
29

Cabout, Thomas. « Optimisation technologique et caractérisation électrique de mémoires résistives OxRRAM pour applications basse consommation ». Thesis, Aix-Marseille, 2014. http://www.theses.fr/2014AIXM4778/document.

Texte intégral
Résumé :
Aujourd'hui, le marché des mémoires non-volatile est dominé par la technologie Flash. Cependant, cette technologie est en passe d'atteindre ses limites de miniaturisation. Ainsi, dans le but de poursuivre la réduction des dimensions, de nouveaux concepts mémoires sont explorés. Parmi les technologies émergentes, la mémoire résistive OxRRAM basée sur la commutation de résistance d’une structure Métal/Isolant/Métal, cette technologie présente des performances prometteuses, supporte une réduction de ses dimensions critiques et offre une bonne compatibilité avec les filières CMOS. Toutefois, cette technologie mémoire n'en est qu'au stade du développement et se heurte à une compréhension que partielle des mécanismes de commutation de résistance.Ce travail de thèse s'intègre dans ce contexte et vise à apporter une contribution supplémentaire au développement de cette technologie. La première partie est consacrée à la sélection du meilleur couple électrodes/matériau actif. A l’aide d’une analyse des caractéristiques électriques de commutation, l’empilement TiNHfO2Ti est retenu pour être intégré dans une structure 1T1R. Une seconde partie présente la caractérisation électrique avancée de l’architecture mémoire 1T1R. L'influence des différents paramètres de programmation est analysée et les performances électriques sont évaluées. La dernière partie apporte des éléments d'analyse et de compréhension sur les mécanismes de commutation de résistance. La mesure, en fonction de la température, des caractéristiques électriques de commutation a permis d'analyser l'influence de la température et du champ électrique sur les mécanismes physiques à l'origine du changement de résistance
Today, non-volatile memory market is dominated by charge storage based technologies. However, this technology reaches his scaling limits and solutions to continue miniaturization meet important technological blocks. Thus, to continue scaling for advanced nodes, new non-volatile solutions are developed. Among them, oxide based resistive memories (OxRRAM) are intensively studied. Based on resistance switching of Metal/Isolator/Metal stack, this technology shows promising performances and scaling perspective but isn’t mature and still suffer from a lake of switching mechanism physical understanding.Results presented in this thesis aim to contribute to the development of OxRRAM technology. In a first part, an analysis of different materials constituting RRAM allow us to compare unipolar and bipolar switching modes and select the bipolar one that benefit from lower programming voltage and better performances. Then identified memory stack TiNHfO2Ti have been integrated in 1T1R structure in order to evaluate performances and limitation of this structure. Operating of 1T1R structure have been carefully studied and good endurance and retention performances are demonstrated. Finally, in the last part, thermal activation of switching characteristics have been studied in order to provide some understanding of the underling physical mechanisms. Reset operation is found to be triggered by local temperature while retention performances are dependent of Set temperature
Styles APA, Harvard, Vancouver, ISO, etc.
30

Huguenin, Jean-luc. « Etude de dispositifs à film mince pour les technologies sub-22nm basse consommation ». Phd thesis, Université de Grenoble, 2011. http://tel.archives-ouvertes.fr/tel-00680798.

Texte intégral
Résumé :
Depuis plus d'un demi-siècle, le monde de la microélectronique est rythmé par une course à la miniaturisation de son élément central, le transistor MOS, dans le but d'améliorer la densité d'intégration, les performances et le coût des circuits électroniques intégrés. Depuis plusieurs générations technologiques maintenant, la simple réduction des dimensions du transistor n'est plus suffisante et de nouveaux modules technologiques (utilisation de la contrainte, empilement de grille high-k/métal...) ont du être mis en place. Cependant, le transistor MOS conventionnel, même optimisé, ne suffira bientôt plus à répondre aux attentes toujours plus élevées des nouvelles technologies. De nouvelles architectures doivent alors être envisagées pour épauler puis, à terme, remplacer la technologie BULK. Dans ce contexte, cette thèse porte sur l'étude, la fabrication et la caractérisation électrique des architectures à film mince que sont le SOI localisé (ou LSOI) et le double grille planaire à grille enrobante (ou GAA). Les résultats obtenus mettent ainsi en évidence l'intérêt de ces dispositifs qui permettent une réduction du courant de fuite (et donc de la consommation), un excellent contrôle des effets électrostatiques et fonctionnent sans dopage canal (faible variabilité) tout en proposant de très bonnes performances statiques. L'impact d'une orientation de substrat (110) sur les propriétés de transport dans les transistors LSOI est également étudié. Ce travail de thèse garde comme ligne de mire la réalisation d'une plateforme basse consommation complète, impliquant une éventuelle intégration hybride avec des dispositifs BULK et la possibilité d'offrir plusieurs niveaux de tension de seuil, le tout sur une même puce.
Styles APA, Harvard, Vancouver, ISO, etc.
31

Huguenin, Jean-Luc. « Etude de dispositifs à film mince pour les technologies sub-22nm basse consommation ». Thesis, Grenoble, 2011. http://www.theses.fr/2011GRENT073/document.

Texte intégral
Résumé :
Depuis plus d'un demi-siècle, le monde de la microélectronique est rythmé par une course à la miniaturisation de son élément central, le transistor MOS, dans le but d'améliorer la densité d'intégration, les performances et le coût des circuits électroniques intégrés. Depuis plusieurs générations technologiques maintenant, la simple réduction des dimensions du transistor n'est plus suffisante et de nouveaux modules technologiques (utilisation de la contrainte, empilement de grille high-k/métal…) ont du être mis en place. Cependant, le transistor MOS conventionnel, même optimisé, ne suffira bientôt plus à répondre aux attentes toujours plus élevées des nouvelles technologies. De nouvelles architectures doivent alors être envisagées pour épauler puis, à terme, remplacer la technologie BULK. Dans ce contexte, cette thèse porte sur l'étude, la fabrication et la caractérisation électrique des architectures à film mince que sont le SOI localisé (ou LSOI) et le double grille planaire à grille enrobante (ou GAA). Les résultats obtenus mettent ainsi en évidence l'intérêt de ces dispositifs qui permettent une réduction du courant de fuite (et donc de la consommation), un excellent contrôle des effets électrostatiques et fonctionnent sans dopage canal (faible variabilité) tout en proposant de très bonnes performances statiques. L'impact d'une orientation de substrat (110) sur les propriétés de transport dans les transistors LSOI est également étudié. Ce travail de thèse garde comme ligne de mire la réalisation d'une plateforme basse consommation complète, impliquant une éventuelle intégration hybride avec des dispositifs BULK et la possibilité d'offrir plusieurs niveaux de tension de seuil, le tout sur une même puce
For more than 50 years, microelectronic industry is driven by a race to the miniaturisation of its central element, the MOS transistor, to improve the integration density, the performances and the cost of the electronic integrated circuits. Since the adoption of 100nm node, the only reduction of the dimensions of the transistor is no more sufficient and new technological modules (use of strain, high-k/metal gatestack…) have been introduced. However, conventional MOSFET, even opimized, will soon be unable to reach the specifications, always higher, of new technologies. Then, new structures should be considered to help and, finally, to replace the BULK technology. In this context, the work concerns the study, the fabrication and the electrical characterization of the thin film devices : Localized-SOI (LSOI) and planar gate-all-around (GAA). The obtained resultats point out the interest of such devices which allow the reduction of the leakage current (and thus the consumption), an excellent control of electrostatics and are able to work with an undoped channel while offering very good static performances. Impact of (110) substrates on transport properties in LSOI transistors is also studied. This work focuses on the integration of a full low-power platform, what induces the possibility of an hybrid integration with BULK devices and to offer several threshold voltages, everything on the same chip
Styles APA, Harvard, Vancouver, ISO, etc.
32

Morin, Elodie. « Interopérabilité de protocole de communication adaptatifs basse-consommation pour des réseaux de capteurs ». Thesis, Université Grenoble Alpes (ComUE), 2018. http://www.theses.fr/2018GREAM022/document.

Texte intégral
Résumé :
L'essor rencontré par les différentes technologies dédiées aux réseaux de capteurs (WSN), a conduit au développement de plateformes capables d'opérer dans deux technologies différentes, adaptatives aux contextes de transmission. De telles plateformes ouvrent la porte à la conception de réseaux multitechnologies, que nous proposons d'exploiter dans le but de réduire la consommation d'énergie globale. Dans le but d'exploiter ces réseaux multitechnologies, nous décrivons les principales technologies de l'Internet des Objets (IoT), en les comparant sur un pied d'égalité grâce à l'analyseur que nous avons développé, puis les classifions en fonction des mécanismes MAC qu'elles exploitent. Nous analysons ensuite le lien entre le contexte applicatif (latence et fréquence de la génération de données) et le mécanisme MAC consommant le moins d'énergie pour ce contexte applicatif.Nous remarquons alors que les technologies exploitants un mécanisme MAC synchrone sont les plus adaptées aux trafics applicatifs périodiques dont les intervalles entre les générations de données sont courts. En effet, pour ces trafics, la dérive d'horloge entraine un coût de maintien de la synchronisation active trop élevé dans le cas de trafics périodiques rares. De plus, nous remarquons que la gestion des trafics applicatifs rares contraints en latence repose, dans les solutions existantes, sur l'utilisation d'une plateforme constamment active en mode de réception. Nous proposons alors d'exploiter les plateformes multitechnologies pour constituer un réseau synchrone dans lequel chaque nœud répartit son activité dans le temps pour globalement économiser de l'énergie pour remplacer le rôle du dispositif constamment disponible utilisé pour acheminer des trafics asynchrones contraints en latence. Nous remarquons que lors de la procédure d'attache au réseau synchrone, la situation du nœud qui tente de rejoindre un réseau synchrone dans le but d'y acheminer des données est similaire à la situation d'un nœud asynchrone qui souhaite acheminer des données au sein d'un réseau synchrone.Ainsi, nous proposons d'exploiter la phase d'attache au réseau pour acheminer des trafics émanants de noeuds asynchrones, contraints en latence, au sein d'un réseau synchrone.Cependant, les procédures actuellement standardisées d'attache au réseau sont naïves et très coûteuse en énergie, ce qui décourage l'utilisation d'un mode de communication asynchrone, reposant sur une succession d'associations/désassociations du réseau : nous proposons deux approches pour réduire le coût de cette procédure d'attache à un réseau TSCH. La première repose sur l'exploitation de séquences mathématiques dont la propriété est d'étaler les périodes d'activités dans le temps, tout en minimisant l'impact sur la latence de la procédure, pour diminuer le coût énergétique global de la procédure d'attache. La deuxième méthode proposée exploite les trames d'acquittement (ACK) des communications TSCH pour y ajouter des éléments d'informations : la date d'envoi de la prochaine trame de synchronisation sur le même canal physique que celui utilisé pour l'envoi de la trame d'ACK. Grâce au développement d'un simulateur des performances de la phase d'attache à un réseau TSCH, nous montrons que les protocole d'attaches proposés obtiennent de meilleures performances, soit en termes de latence, soit en termes de consommation d'énergie globale, que les protocoles d'attache classiquement utilisés dans les réseaux de capteurs.Enfin, nous proposons d'exploiter les mécanismes de la deuxième proposition d'attache au réseau pour l'envoi de trames de sollicitation à destination d'un nœud fonctionnant avec une technologie asynchrone, permettant ainsi d'acheminer un trafic asynchrone au sein d'un réseau synchrone en une latence bornée. Nous montrons la faisabilité et prouvons l'intérêt d'une telle proposition
The growth of various technologies dedicated to sensor networks (WSN) has led to the development of platforms capable of operating in two different technologies, adaptive to transmission contexts. Such platforms open the door to the design of multi-technology networks, which we propose to exploit to reduce overall energy consumption. In order to exploit these multi-technology networks, we describe the main Internet of Things (IoT) technologies, comparing them on an equal footing thanks to the analyzer we developed, and classify them according to the MAC mechanisms they use. We then analyze the link between the application context (latency and frequency of data generation) and the MAC mechanism that consumes the least energy for this application context.We note that the technologies operating with a synchronous MAC mechanism are the most suitable for periodic application traffic with short intervals between data generation. For these traffic patterns, clock drift leads to extensive traffic overhead because of the need to actively maintain synchronization for sparse periodic traffic.Moreover, we notice that, in the existing solutions, the management of sparce application traffic management is based on the use of an always-on platform (in reception mode). We thus propose to exploit the multi-technology platforms to build a synchronous network in which each node distributes its activity over time to globally save energy by replacing the role of the always-on platform, while guaranteeing the delivery of the latency-constrained asynchronous traffic.We notice that during the synchronous network joining phase, the situation of the node attempting to join a synchronous network is similar to the situation of an asynchronous node wanting to deliver data through a synchronous network.Thus, we propose to exploit the synchronous network joining phase to route latency-constrained traffic originating from asynchronous nodes through the synchronous network.However, the currently standardised network attachment procedures are naïve and energy-greedy, which discourages the use of an asynchronous communication mode, based on a succession of network associations/dissociations: we thus propose two approaches to reduce the cost of the TSCH network attachment procedure.The first is based on the use of mathematical sequences wich distribute the periods of activity over time, while minimizing the impact on the latency of the procedure, in order to reduce the overall energy cost of the attachment procedure. The second proposed method exploits the acknowledgement frames (ACK) of TSCH data communications to embed the date of the next synchronization frame transmission on the same physical channel as the ACK frame. Thanks to the development of a simulator of the TSCH joining phase, we show that the proposed protocols achieve better performance, either in terms of joining latency, or in terms of overall energy consumption, than the standard joining protocols used in WSN.Finally, we propose to exploit the mechanisms of the second proposal for sending request frames to a node operating with an asynchronous technology, thus enabling asynchronous traffic to be routed through a synchronous network in bounded latency. We demonstrate the value and feasibility of such a proposal
Styles APA, Harvard, Vancouver, ISO, etc.
33

Berthier, Florent. « Conception d'un processeur ultra basse consommation pour les noeuds de capteurs sans fil ». Thesis, Rennes 1, 2016. http://www.theses.fr/2016REN1S130/document.

Texte intégral
Résumé :
Les travaux de cette thèse se concentrent sur la réduction de l'énergie consommée et l'amélioration des temps de réveil du microcontrôleur par des innovations au niveau de l'architecture, du circuit et de la gestion de l'énergie. Ces travaux proposent une architecture de microcontrôleur partitionnée entre un processeur de réveil programmable, appelé Wake Up Controller, s'occupant des tâches courantes du nœud de capteurs et un processeur principal gérant les tâches irrégulières. Le Wake Up Controller proposé dans ces travaux de thèse est un processeur RISC 16-bit dont le jeu d'instructions a été adapté pour gérer les tâches régulières du nœud, et n'exécute que du code sur interruptions. Il est implémenté en logique mixte asynchrone/synchrone. Un circuit a été fabriqué en technologie UTBB FDSOI 28nm intégrant le Wake-Up Controller. Le cœur atteint une performance de 11,9 MIPS pour 125μW de consommation moyenne en phase active et un réveil depuis le mode de veille en 55ns pour huit sources de réveil possibles. La consommation statique est d'environ 4μW pour le cœur logique asynchrone à 0,6V sans utilisation de gestion d'alimentation (power gating) et d'environ 500nW avec
This PhD work focuses on the reduction of energy consumption and wake up time reduction of a WSN node microcontroller through innovations at architectural, circuit and power management level. This work proposes a partitioned microcontroller architecture between a programmable wake up processor, named Wake Up Controller on which this work is focused, and a main processor. The first deals with the common tasks of a wireless sensor node while the second manages the irregular tasks. TheWake Up Controller proposed in this work is a 16-bit RISC processor whose instruction set has been adapted to handle regular tasks of a sensor node. It only executes code on interruptions. It is implemented in asynchronous / synchronous mixed logic to improve wake up time and energy. A circuit was fabricated in a 28nm UTBB FDSOI technology integrating the Wake Up Controller. The core reaches 11,9 MIPS for 125 μW average power consumption in active phase and wakes up from sleep mode in 55ns from eight possible interruption sources. The static power consumption is around 4μW for the asynchronous logic core at 0.6V without power gating and 500nW when gated
Styles APA, Harvard, Vancouver, ISO, etc.
34

Boutet, P. A. « Réalisation et optimisation d'une électronique intégrée basse consommation pour la mesure de gaz polluants ». Phd thesis, Université Blaise Pascal - Clermont-Ferrand II, 2012. http://tel.archives-ouvertes.fr/tel-00797888.

Texte intégral
Résumé :
Afin de réaliser un appareil innovant pour la mesure de gaz polluants, la société SVS@CAP s'est associée avec le laboratoire de physique corpusculaire en 2009 pour la création du projet EREBUS. Ce projet a pour but la réalisation d'un ensemble de dispositifs sans fil permettant d'effectuer une surveillance de la concentration de gaz polluants. L'autonomie et la compacité d'un tel dispositif étant essentielles, la problématique principale porte sur la réduction de la consommation. A partir d'une première étude menée sur les différentes technologies existantes, les capteurs électrochimiques ont été identifiés comme les moins consommateurs d'énergie. Pour chacun des gaz cibles, un modèle électrique du capteur associé a été déterminé. A partir de ces modèles, une architecture dédiée et épurée a pu être déduite. Pour atteindre et même dépasser les objectifs de consommation, les efforts ont aussi été portés sur un dimensionnement avec la méthode gm/id. La réalisation de cette électronique intégrée a permis d'atteindre une consommation de l'ordre du microwatt pour chaque voie de mesure. Enfin, pour compléter la chaîne de lecture, plusieurs architectures de convertisseurs ont été étudiées et réalisées pour fonctionner à des fréquences d'échantillonnage proches du Hz. Les consommations obtenues pour les convertisseurs sont limitées avec comme ordre de grandeur la centaine de nW.
Styles APA, Harvard, Vancouver, ISO, etc.
35

Haffner, Thibault. « Elaboration et intégration de nanofils GeSn pour la réalisation de dispositifs nanoélectroniques basse consommation ». Thesis, Université Grenoble Alpes, 2020. https://tel.archives-ouvertes.fr/tel-03066536.

Texte intégral
Résumé :
Depuis les années 60, le développement technologique est principalement porté par la miniaturisation des composants et suit la fameuse conjoncture de Moore. En effet, la miniaturisation apportait, au début, de nombreux avantages. Temps de commutation plus faible, systèmes plus compacts, tension d'alimentation plus faible, et donc, transistors consommant moins, etc. Seulement, cette approche a commencé à s'essouffler ces dernières années. En effet , les limites de la miniaturisation ont commencés à apparaitre et la puissance consommée globale des circuits a commencé à augmenter ce qui limite la réalisation des systèmes. Il devient alors nécessaire de développer des composants basse consommation, tels que les transistors à effet tunnel. Ces transistors ont, à ce jour, un défaut majeur qui est leurs courants à l'état passant, bien plus faible que les MOSFET. Ce courant dépend majoritairement de l'architecture du transistor ainsi que de la largeur de la bande interdite du matériau de l'électrode "source".Nous proposons dans cette thèse d'élaborer et d'étudier des nanofils et des hétérostructures à base de l'alliage germanium-étain. Le $Ge_{1-x}Sn_x$ est un alliage de la colonne IV qui possède un gap très faible, inférieur à 0,66 eV avec la particularité de passer d'un gap indirect à un gap direct à partir d'une concentration de 10% d'étain, ce qui est favorable aux transistors à effet tunnel. Les nanofils ont été élaborés par dépôt chimique en phase vapeur en utilisant le mécanisme vapeur-liquide-solide et des analyses physico-chimiques telles la spectroscopie à rayon X et la spectroscopie par nano-Auger ont été mises en œuvre pour les caractériser. Des hypothèses ont été émises afin de comprendre les mécanismes impliqués dans la croissance de nanofils GeSn et d'en maitriser au mieux l'élaboration. Des hétérostructures axiales qui serviront comme matériaux de base pour la réalisation de transistors à effet tunnel sont présentées et détaillées. Nous présentons par la suite l'étude de l'interface GeSn/diélectrique dans le but d'améliorer les performances des capacités MOS sur GeSn, et donc d'améliorer les dispositifs nanoélectroniques. Des traitements chimiques ont été appliqués sur la surface du GeSn, et des analyses XPS et pAR-XPS ont été menées afin de déterminer l'efficacité des traitements. Afin d'améliorer les performances des capacités MOS, nous avons déposé un empilement formée d'une couche interfaciale suivie d'un diélectrique à forte permittivité, tel que le $HfO_2$, dans le but d'obtenir une densité d'états d'interface la plus faible possible. Enfin, l'intégration et l'étude de transistors à effet tunnel à base d'hétérostructures sont présentées. Nous présentons dans un premiers temps les étapes de développement technologiques développées afin de réaliser les dispositifs nanoélectroniques. Les niveaux de dopage des hétérostructures ont été évalués par le biais de mesures de résistivités. Les performances des transistors à effet tunnel ont été évaluées à l'aide de mesures électriques et ont été confrontées à l'état de l'art actuel
Since the 1960's, technological development has been mainly driven by the miniaturization of components and follows the famous Moore's law. Indeed, miniaturization brought many advantages at the start. Lower switching time, more compact systems, lower supply voltage, and therefore, transistors consuming less, etc. However, this approach has started to falter in recent years. Indeed, the limits of miniaturization began to appear and the overall power consumption of the circuits began to increase which limits the realization of the systems. It then becomes necessary to develop low-consumption components, such as tunnel effect transistors. These transistors have, to date, a major defect which is their currents in the on state, much weaker than the MOSFETs. This current depends mainly on the architecture of the transistor as well as on the gap width of the source material.In this thesis, we propose to develop and study nanowires and heterostructures based on the germanium-tin alloy. The $ Ge_{1-x}Sn_x $ is an alloy of column IV which has a very small gap, less than 0.66 eV with the particularity of passing from an indirect gap to a direct gap from a concentration 10% of tin, which is favorable to tunnel effect transistors. Nanowires were developed by chemical vapor deposition using the vapor-liquid-solid mechanism and physicochemical analyzes such as X-ray spectroscopy and nano-Auger spectroscopy were used to characterize them. Hypotheses have been put forward in order to understand the mechanisms involved in the growth of GeSn nanowires and to better control their development. Axial heterostructures which will serve as basic materials for the realization of tunnel effect transistors are presented and detailed. We then present the study of the GeSn/dielectric interface in order to improve the performance of MOS capacities on GeSn, and therefore, to improve nanoelectronic devices. Chemical treatments were applied to the GeSn surface, and XPS and pAR-XPS analyzes were conducted to determine the effectiveness of the treatments. In order to improve the performance of the MOS capacities, we deposited a stack formed of an interfacial layer followed by a dielectric with high permittivity, such as $ HfO_2$, in order to obtain a low interface trap density. Finally, the integration and study of tunnel effect transistors based on heterostructures are presented. We first present the technological development stages developed in order to produce nanoelectronic devices. The doping levels of the heterostructures were evaluated by means of resistivity measurements. The performances of tunnel effect transistors were evaluated using electrical measurements and were compared with the current state of the art
Styles APA, Harvard, Vancouver, ISO, etc.
36

Benjilali, Wissam. « Etude d'architectures d'imageurs exploitant l'acquisition compressive pour la classification d'images à basse consommation énergétique ». Thesis, Université Grenoble Alpes (ComUE), 2019. http://www.theses.fr/2019GREAT067.

Texte intégral
Résumé :
Les progrès récents dans le domaine des capteurs d’image CMOS reposent sur la remise en question du schéma classique d’acquisition et de traitement d’images, cela, afin de permettre des traitements avancés sur puce telles que la prise de décision. Malgré les réalisations rendues possibles grâce à l’utilisation des nœuds technologiques avancés et à l’intégration 3D, la conception de capteurs avec des capacités de prise de décision reste une tâche ardue en raison de la quantité de données acquise et à traiter, ainsi que du coût matériel que représente l’implémentation des algorithmes de prise de décisions classiques. Dans ce contexte, l’Acquisition Compressive (AC) est apparue comme une approche alternative pour détecter les données dans une représentation compressive. Dans le cas où le AC exploite des motifs générés aléatoirement, il permet une réduction considérable du matériel en réduisant les conversions analogique-numérique et le débit des données, tout en fournissant des informations pertinentes pour la reconstruction ou le traitement du signal. Traditionnellement, l’AC a été exploité dans des applications de capteurs d’image pour des tâches de compression couplées à des algorithmes de reconstructions distants impliquant une complexité algorithmique élevée. Pour relâcher cette complexité, le traitement du signal sur des mesures compressées fournit des garanties théoriques solides pour effectuer le traitement du signal directement sur les mesures compressées sans perte de performance significative, ce qui constitue donc une nouvelle piste pour concevoir des nœuds de capteurs intelligents à basse consommation énergétique.Basée sur des axes de recherche traitant de l’algorithmique et du matériel, cette thèse étudie des voix de développement exploitant l’acquisition compressive pour concevoir des nœuds de capteurs doté de capacité de prise de décision sur puce à basse consommation énergétique. Après une présentation du contexte matériel et algorithmique lié à l’acquisition compressive et les techniques d’apprentissage machine, la thèse présente quatre contributions principales pour optimiser les schémas d’acquisition du signal et des traitements associés dans le contexte des capteurs d’image CMOS. Dans un premier temps, une étude analytique explore l’intérêt de résoudre des tâches d’inférence à partir de mesures compressées pour des applications à forte contraintes matériels. L’objectif est de trouver le schéma le plus avantageux pour prendre des décisions à partir de mesures compressées. Ensuite, un nouveau schéma d’acquisition compressive pour les capteurs d’image est présenté. Conçu pour répondre à la fois aux exigences théoriques et matérielles, le modèle s’avère être approprié pour les capteurs qui traitent à la fois des tâches de rendu d’image et de prise de décision sur puce. D’autre part, pour réduire la complexité de calcul sur puce impliquée par les algorithmes de prise de décision standard, de nouvelles méthodes de construction d’arbres d’inférence hiérarchique sont explorées afin de réduire les opérations MAC liées à une tâche d’inférence multi-classe sur puce. Cela conduit à une optimisation conjointe traitement-acquisition lors de la combinaison de l’inférence hiérarchique avec l’acquisition compressive. Enfin, les contributions susmentionnées sont mises en œuvre dans une architecture compacte d’un capteur d’image CMOS permettant la reconnaissance d’objets sur puce, grâce au schéma d’acquisition AC proposé, réduisant ainsi les besoins en mémoire sur puce. Le seul matériel supplémentaire par rapport à une architecture standard utilisant un convertisseur analogique-numérique Sigma-Delta incrémental de premier ordre est un circuit de mélange de données pseudo-aléatoire, un modulateur +/-1 in-Sigma-Delta et un petit processeur de signal numérique (DSP). Plusieurs optimisations matérielles sont présentées pour répondre aux exigences de la conception des futures capteurs CMOS dits ultra-basse consommation (≈µW)
Recent advances in the field of CMOS Image Sensors (CIS) tend to revisit the canonical image acquisition and processing pipeline to enable on-chip advanced image processing applications such as decision making. Despite the tremendous achievements made possible thanks to technology node scaling and 3D integration, designing a CIS architecture with on-chip decision making capabilities still a challenging task due to the amount of data to sense and process, as well as the hardware cost to implement state-of-the-art decision making algorithms. In this context, Compressive Sensing (CS) has emerged as an alternative signal acquisition approach to sense the data in a compressed representation. When based on randomly generated sensing models, CS enables drastic hardware saving through the reduction of Analog to Digital conversions and data off-chip throughput while providing a meaningful information for either signal recovery or signal processing. Traditionally, CS has been exploited in CIS applications for compression tasks coupled with a remote signal recovery algorithm involving high algorithmic complexity. To alleviate this complexity, signal processing on CS provides solid theoretical guarantees to perform signal processing directly on CS measurements without significant performance loss opening as a consequence new ways towards the design of low-power smart sensor nodes.Built on algorithm and hardware research axes, this thesis illustrates how Compressive Sensing can be exploited to design low-power sensor nodes with efficient on-chip decision making algorithms. After an overview of the fields of Compressive Sensing and Machine Learning with a particular focus on hardware implementations, this thesis presents four main contributions to study efficient sensing schemes and decision making approaches for the design of compact CMOS Image Sensor architectures. First, an analytical study explores the interest of solving basic inference tasks on CS measurements for highly constrained hardware. It aims at finding the most beneficial setting to perform decision making on Compressive Sensing based measurements. Next, a novel sensing scheme for CIS applications is presented. Designed to meet both theoretical and hardware requirements, the proposed sensing model is shown to be suitable for CIS applications addressing both image rendering and on-chip decision making tasks. On the other hand, to deal with on-chip computational complexity involved by standard decision making algorithms, new methods to construct a hierarchical inference tree are explored to reduce MAC operations related to an on-chip multi-class inference task. This leads to a joint acquisition-processing optimization when combining hierarchical inference with Compressive Sensing. Finally, all the aforementioned contributions are brought together to propose a compact CMOS Image Sensor architecture enabling on-chip object recognition facilitated by the proposed CS sensing scheme, reducing as a consequence on-chip memory needs. The only additional hardware compared to a standard CIS architecture using first order incremental Sigma-Delta Analog to Digital Converter (ADC) are a pseudo-random data mixing circuit, an +/-1 in-Sigma-Delta modulator and a small Digital Signal Processor (DSP). Several hardware optimization are presented to fit requirements of future ultra-low power (≈µW) CIS design
Styles APA, Harvard, Vancouver, ISO, etc.
37

Perrin, Olivier. « Modélisation et diagnostic de pannes dans des organes de véhicules automobiles à basse consommation ». Rennes 1, 2003. http://www.theses.fr/2003REN10158.

Texte intégral
Résumé :
Afin de diminuer les émissions de polluants de ses futurs véhicules, Renault étudie de nouveaux organes reposant sur les technologies les plus avancées en matière de post-traitement. Le filtre à particules est adapté au traitement des particules Diesel ; sa modélisation (simplifiée), sa surveillance et son diagnostic sont l’objet de la présente thèse. On a obtenu, à travers la simplification et la modification d’un modèle antérieur, une première version 1-D de modèle. Sa réduction, menée ensuite, a été finalisée par deux modèles 0-D non linéaires. A partir de ces modèles, deux techniques de surveillance/diagnostic ont été proposées. L’une, alternative à une solution existante, vise la surveillance de la partie mécanique et passe par l’estimation d’un paramètre via un observateur adaptatif. L’autre, très simple et originale, prévoit le diagnostic de la partie catalytique et vise la surveillance d’un paramètre, estimé en deux étapes via un couple observateur/observateur adaptatif.
Styles APA, Harvard, Vancouver, ISO, etc.
38

Boutet, Paul-Antoine. « Réalisation et optimisation d'une électronique intégrée basse consommation pour la mesure de gaz polluants ». Thesis, Clermont-Ferrand 2, 2012. http://www.theses.fr/2012CLF22312.

Texte intégral
Résumé :
Afin de réaliser un appareil innovant pour la mesure de gaz polluants, la société SVS@CAP s’est associée avec le laboratoire de physique corpusculaire en 2009 pour la création du projet EREBUS. Ce projet a pour but la réalisation d’un ensemble de dispositifs sans fil permettant d’effectuer une surveillance de la concentration de gaz polluants. L’autonomie et la compacité d’un tel dispositif étant essentielles, la problématique principale porte sur la réduction de la consommation. A partir d’une première étude menée sur les différentes technologies existantes, les capteurs électrochimiques ont été identifiés comme les moins consommateurs d’énergie. Pour chacun des gaz cibles, un modèle électrique du capteur associé a été déterminé. A partir de ces modèles, une architecture dédiée et épurée a pu être déduite. Pour atteindre et même dépasser les objectifs de consommation, les efforts ont aussi été portés sur un dimensionnement avec la méthode gm/id. La réalisation de cette électronique intégrée a permis d’atteindre une consommation de l’ordre du μW pour chaque voie de mesure. Enfin, pour compléter la chaîne de lecture, plusieurs architectures de convertisseurs ont été étudiées et réalisées pour fonctionner à des fréquences déchantillonnage proches du Hz. Les consommations obtenues pour les convertisseurs sont limitées avec comme ordre de grandeur la centaine de nW
In order to realize an innovative product for pollutants in the atmosphere, SVS@CAP company started in 2009 the EUREBUS project in collaboration with the "Laboratoire de Physique Corpusculaire". The aim of this project is to design a wireless equipement to measure gas concentrations. The key issues of this project are concerning the autonomy as well as the small size of the product. In consequence an integrated and low power electronics remains essential. From a first study of the existing technologies to detect gaz concentrations, electrochemical sensors were selected because of their low power consumption. For each of the target gas, an electrical model was determined. From those models, a specific architecture was designed. A special effort was made on the energy consumption thanks to the use of the gm/id methodology which was necessary to achieve and exceed the specifications. The final order of the power consumption of the front-end developped and realized is around the μW. Finally, in order to complete the chain of acquisition, some architectures of analog to digital converter were studied, developped and realized with sample frequencies close to the Hz. The power consumptions of the converters developped are limited to the order of the hundreds of nW
Styles APA, Harvard, Vancouver, ISO, etc.
39

Bidal, Gregory. « Intégration et caractérisation de nouveaux modules technologiques pour les applications CMOS à basse consommation ». Grenoble INPG, 2009. http://www.theses.fr/2009INPG0082.

Texte intégral
Résumé :
Afin de répondre aux besoins des nouvelles applications dites « mobiles / multimédia», de nouvelles solutions technologiques CMOS émergent pour améliorer le compromis consommation/performance des transistors. D’une part, les dimensions des dispositifs atteignant les échelles nanométriques, des phénomènes parasites, auparavant négligeables, gagnent en importance. D’autre part, la généralisation de l’intégration de plusieurs fonctions sur une même puce (digitale, analogue, mémoire) implique d’anticiper l’optimisation technologique des composants au-delà de la simple miniaturisation. Cette thèse porte sur l’étude, la fabrication et la caractérisation de nouveaux modules technologiques destinés à limiter les courants de fuite et à améliorer le transport des porteurs : empilement de grille combinant diélectrique haute permittivité et grille métallique, transistor à canal complètement déserté intégré sur substrat bulk par technologie « Silicon-On-Nothing », transistor à grille enrobante, nouveaux substrats à double orientation cristalline, techniques de contrainte
Mobile multimedia applications are requiring new CM OS technological solutions in order to improve the performance/consumption trade-off. Since devices dimensions are entering into the nanoscale era, parasitic phenomenon are becoming less and less negligible. This work deals with the study, the fabrication and the characterization of new technological modules that are suitable for reducing leakage components and for boosting carriers transport. Chapter 1 is a review of the state-of-the-art. Chapter 2 presents technological integration of each module and their co-integrability. Chapter 3 gives an overview of electrical performances finally discussed in circuits and SRAM perspectives. Last, in depth characterization of transport relevant parameters su ch as mobility and velocity is detailed in chapter 4. The latter tries to give the main transport limitations for each architecture
Styles APA, Harvard, Vancouver, ISO, etc.
40

Jaffal, Issa. « Vers une conception rationnelle des bâtiments à basse consommation d'énergie : méthodologie d'évaluation des performances thermiques ». La Rochelle, 2009. http://www.theses.fr/2009LAROS279.

Texte intégral
Résumé :
Le secteur du bâtiment possède un grand potentiel de réduction de la consommation d’énergie. Un choix rationnel parmi les nombreuses solutions possibles s’avère être un problème essentiel mais complexe, nécessitant une évaluation des impacts des très nombreuses options sur les aspects performantiels du bâtiment. Ce travail propose une méthodologie d’évaluation des performances thermiques adaptée à une conception rationnelle des bâtiments. Les modèles développés allient plusieurs exigences, notamment la rapidité et la précision. Le choix des paramètres est issu d’étude des flux thermiques dans le bâtiment. La méthode est appliquée à l’évaluation des besoins de chauffage d’une maison individuelle pour trois types de climat français. Les coefficients des modèles sont identifiés avec un nombre limité de simulations dynamiques l’aide de la méthode des plans d’expériences. La meilleure précision obtenue est de l’ordre d’un dixième de kWh/m²an. Les modèles permettent d’analyser les effets des paramètres sur les besoins de chauffage et d’avoir une meilleure compréhension de l’influence des flux thermiques dans le bâtiment. L’application de la méthode à l’évaluation des besoins de climatisation et du confort thermique d’été dans un logement montre une précision du même ordre que celle obtenue avec les besoins de chauffage. Enfin, un outil prototype de choix de solutions, basé sur les modèles étudiés, est proposé. Il permet de guider le choix des solutions d’une manière évolutive à partir des performances souhaitées par les concepteurs
The building sector has a high potential to reduce energy consumption. A rational choice among the design alternatives is an essential but complex issue, requiring the evaluation of the impact of a large number of alternatives on the different performance aspects of the building. This work proposes a methodology to evaluate thermal performance adaptable to a rational building design. The developed models satisfy several requirements including speed and accuracy. The choice of parameters is derived from the study of the heat flow in buildings. The method is applied to evaluate the heating demand of a single family house for three types of French climate. The coefficients of the models are identified with a low number of dynamic simulations using the design of experiment method. The best obtained accuracy is around the tenth of kWh/m²year. The models can analyze the effect of the different parameters on the heating demand. They also allow a better understanding of the influence of building heat flow. The application of the method to evaluate the cooling demand and the summer thermal comfort in a residential apartment shows an accuracy of the same order of magnitude as the one obtained with the heating demand. Finally, a prototype tool for choosing solutions based on the studied models is proposed. It allows guiding an evolutionary choice of solutions from a desired performance of the building designers
Styles APA, Harvard, Vancouver, ISO, etc.
41

Slimani, Mariem. « Contributions à la diminution de consommation des circuits numériques ». Thesis, Paris, ENST, 2013. http://www.theses.fr/2013ENST0016/document.

Texte intégral
Résumé :
Ce travail de thèse traite différents aspects de la conception basse consommation. Tout d’abord, le concept du calcul réversible, considéré comme le premier essai pour un calcul sans dissipation, est présenté. Puis, je me suis intéressée aux dissipations des circuits complémentaires MOS puisque c’est la logique la plus couramment utilisée dans les circuits numériques. J’ai proposé deux approches pour réduire la consommation de ces circuits numériques. La première approche porte sur la réduction de la dissipation due aux glitchs. J’ai proposé une nouvelle méthode qui consiste à adapter les tensions de seuil des transistors pour assurer un filtrage optimal de ces glitchs. Les résultats de simulation montrent que nous obtenons jusqu’à16% de réduction des glitchs, ce qui représente une amélioration de 18% par rapport à l’état de l’art sur la base des circuits de référence ISCAS85. La deuxième approche porte sur la réduction de la dissipation obtenue en faisant fonctionner les transistors MOS en régime d’ inversion faible (sous-seuil). Les circuits fonctionnant dans ce régime représentent une solution idéale pour les applications ultra-basse-consommation. Par contre, l’une des préoccupations majeures est qu’ils sont plus sensibles aux dispersions des processus de fabrication, ce qui peut entraîner des problèmes de fiabilité. Je propose un modèle compact qui détermine le point d’énergie minimum de façon analytique, donc sans recourir à une simulation type SPICE, tout en étant suffisamment précise vis-à-vis de la variabilité(due à la dispersion). L’écart de résultat entre le modèle compact et un modèle SPICE complet est de 6%
This thesis focuses on different aspects of ”Low Energy Design”. First, reversible logic, as it is the first attempt for low energy computing, is briefly dis- cussed. Then, we focus on dynamic energy saving in the combinational part of CMOS circuits. We propose a new method to reduce glitches based on dual threshold voltage technique. Simulation results report more than 16% average glitch reduction. We also show that combining dual-threshold to gate-sizingtechnique is very interesting for glitch filtering as it brings up to 27 % energy savings. In the third part of this dissertation, we have been interested in sub-threshold operation where the minimum energy can be achieved using a reduced supply voltage. Sub-threshold operation has been an efficient solution for energy-constrained applications with low speed requirements. However, it is very sensitive to process variability which can impact the robustness and effective performance of the circuit. We propose a model valid in sub and near threshold regions in order to correctly estimate the circuit performance in a variability aware analysis. We provide an analytical solution for the optimum supply voltage that minimizes the total energy per operation while considering variability effects. Spice simulations matches the analytical result to within 6%
Styles APA, Harvard, Vancouver, ISO, etc.
42

Masmoudi, Raouia. « Télécommunications domotiques efficaces en termes de consommation d’énergie ». Thesis, Cergy-Pontoise, 2015. http://www.theses.fr/2015CERG0791.

Texte intégral
Résumé :
Le spectre électromagnétique est une ressource limitée dont l'usage doit être optimisé. Plusieurs travaux actuels visent à améliorer l'utilisation des fréquences radio en exploitant les méthodes de traitement intelligent du signal : la radio cognitive. Cette thèse se place dans ce contexte. Concrètement, nous considérons un problème d'allocation conjointe de spectre et de puissance dans un système radio cognitif (CR) composé de plusieurs utilisateurs secondaires (SUs) et primaires (PUs). L'objectif est d'optimiser l'efficacité énergétique des SUs tout en garantissant des niveaux d'interférences maximales imposés par la présence des PUs. Nous analysons des métriques d'efficacité énergétique en utilisant un cadre unificateur basé sur des outils d'optimisation convexe multi-critères. Les métriquesque nous étudions sont : la maximisation de débit avec pénalité sur l'interférence créée, le ratio débit puissance totale et la minimisation de puissance sous contrainte de débit pour concevoir un système de communications efficace en termes de consommation. Nous approfondissons ensuite l'étude de la métrique de minimisation de puissances sous contraintes de débits minimaux aux SUs et d'interférences maximales aux PUs. Étant donné la nature opposée de ces contraintes, nous étudions d'abord la faisabilité du problème et nous proposons des conditions nécessaires et des conditions suffisantes pour l'existence d'une solution. Le défi principal réside dans la non-convexité du problème d'allocation conjointe de spectre et de puissance en raison du paramètre discret d'ordonnancement des SUs. Afin de surmonter ce challenge, nous utilisons une technique de relaxation de Lagrange pour résoudre un problème convexe. Nous prouvons que les solutions discrètes du problème relaxé sont les solutions du problème initial. Lorsqu'une solution existe, nous proposonsun algorithme itératif basé sur la méthode de sous-gradient pour calculer la solution optimale. Nous montrons que le scheduling optimal est plus efficace comparé avec d'autres allocations de spectre classiques (e.g. entrelacée, blockwise). Dans le cas particulier de deux bandes orthogonales et un SU unique, nous proposons une solution analytique qui ne nécessite pas d'algorithme itératif
The radio spectrum is a limited resource which must be used in an optimal way. Recent works in the literature aim to improve the use of radio frequencies by exploiting intelligent techniques from signal processing, such as the cognitive radio paradigm. In this thesis, we study a joint spectrum scheduling and power allocation problem in a Cognitive Radio (CR) system composed of several secondary users (SUs) and primary users (PUs). The objective is to optimize the energy efficiency of the SUs while guaranteeing that the interference created to the PUs is kept below a maximum tolerated level. We analyze energy efficiency metrics in wireless communications using a common unifying framework based on convex multi-criteria optimization tools, which includes the three of the most popular energyefficiency metrics in the literature : weighted difference between overall achievable rate and power consumption, the ratio between the overall rate and consumed power and overall consumed power under minimum rate constraint. Then, we further focus on the study of the opportunistic power minimization problem over several orthogonal frequency bands under constraints on the minimum Quality of Service (QoS) and maximum interference to the PUs. Given the opposing nature of these constraints, we first study the feasibility of the problem and we provide sufficient conditions and necessary conditions that guarantee the existence of a solution. The main challenge lies in the non-convexity of the joint spectrum and power allocation problem due to the discrete spectrum scheduling parameter of SUs. To overcome this issue, we use a Lagrangian relaxation technique to solve a convexproblem. We prove that the discrete solutions of the relaxed problem are the solutions of the initial problem. When a solution exists, we propose an iterative algorithm based on subgradient method to compute an optimal solution. We show that the optimal scheduling is more efficient compared to other conventional spectrum allocations (e.g. interlaced, blockwise). In the particular case of two orthogonal bands and an unique SU, we provide an analytical solution that does not require an iterative algorithm
Styles APA, Harvard, Vancouver, ISO, etc.
43

Chandernagor, Lucie. « Etude, conception et réalisation d’un récepteur d’activation RF ultra basse consommation pour l’internet des objets ». Thesis, Limoges, 2016. http://www.theses.fr/2016LIMO0126/document.

Texte intégral
Résumé :
Grâce au confort d’utilisation qu’elles procurent, les technologies sans fil se retrouvent aujourd’hui dans un vaste panel d’applications. Ainsi le nombre d’éléments de transmission/réception radio se multiplie. Aujourd’hui pour réduire les consommations des éléments radio, il faut les rendre davantage efficaces notamment pour la partie réception. En effet, pour les communications asynchrones, les récepteurs consomment inutilement de l’énergie à attendre qu’une transmission soit faite. Dans l’objectif de réduire ce gaspillage d’énergie, des nouveaux standards ont vu le jour tel que le Zigbee et le Bluetooth Low Energy. Les performances en consommation procurées par ces deux standards résident sur leur fonction périodique à très faible rapport cyclique. Une nouvelle solution émergente pour réduire drastiquement la consommation des récepteurs en les rendant plus efficaces est l’utilisation de récepteur d’activation. Les récepteurs d’activation ou récepteur de réveil sont des récepteurs simples ce qui leur permet d’atteindre une ultra basse consommation uniquement en charge de guetter l’arrivée d’une trame et de réveiller le récepteur principal, placé en veille au préalable, pour traitement de cette dernière. Le récepteur d’activation proposé ici a été réalisé dans la technologie CMOS 160 nm de NXP. Il offre une sensibilité de -54 dBm, pour une consommation moyenne de 35 μA, prodiguant une portée de 70m à 433,92 MHz pour une puissance de 10 dBm émis. Ce récepteur ASK se distingue des autres récepteurs d’activation par le système de calibration breveté avec ajustement automatique la tension de référence requise pour la démodulation. Ce système rend le circuit robuste au problème d’offset DC et ne consomme aucun courant lorsque le circuit est en écoute. Le récepteur d’activation reconnaît un code de Manchester de 24 bits à 25 kbps, programmable grâce à une interface SPI
Wireless technologies are now widespread due to the easiness of use they provide. Consequently, the number of radio devices increases. Despite of the efforts to reduce radio circuits power consumption as they are more and more numerous, now they must achieve ultra-low power consumption. Today, radio devices are made more efficient to reduce their power consumption especially for the receiving part. Indeed, for asynchronous communication, a lot of energy is wasted by the receiver waiting for a transmission. In order to avoid this waste, new standards have been created such as Zigbee and Bluetooth Low Energy. Due to periodic operation with ultra-low duty cycle, they provide ultra-low power consumption. Another solution to drastically reduce the power consumption has emerged, wake-up receiver. Wake-up receivers are based in simple architecture to provide ultra-low power consumption, they are only in charge to wait for a frame and when it occurs, wake-up the main receiver put in standby mode before that. The proposed wake-up receiver has been designed in NXP CMOS technology 160 μm. It provides a-54 dBm sensitivity, consuming 35 μA which allows a 70m range considering a 10 dBm emitter at 433,92 MHz. This wake-up receiver operates with ASK modulation, compared to others it provides a smart patented calibration system to get the necessary reference voltage for demodulation. This mechanism provide DC offset robustness and does not drain any current while the wake-up receiver is operating. To wake up the main receiver a 24 bits programmable Manchester code is required. This code at 25 kbps is programmable by the use of an SPI interface
Styles APA, Harvard, Vancouver, ISO, etc.
44

Agharben, El Amine. « Optimisation et réduction de la variabilité d’une nouvelle architecture mémoire non volatile ultra basse consommation ». Thesis, Lyon, 2017. http://www.theses.fr/2017LYSEM013.

Texte intégral
Résumé :
Le marché mondial des semi-conducteurs connait une croissance continue due à l'essor de l'électronique grand public et entraîne dans son sillage le marché des mémoires non volatiles. L'importance de ces produits mémoires est accentuée depuis le début des années 2000 par la mise sur le marché de produits nomades tels que les smartphones ou plus récemment les produits de l’internet des objets. De par leurs performances et leur fiabilité, la technologie Flash constitue, à l'heure actuelle, la référence en matière de mémoire non volatile. Cependant, le coût élevé des équipements en microélectronique rend impossible leur amortissement sur une génération technologique. Ceci incite l’industriel à adapter des équipements d’ancienne génération à des procédés de fabrication plus exigeants. Cette stratégie n’est pas sans conséquence sur la dispersion des caractéristiques physiques (dimension géométrique, épaisseur…) et électriques (courant, tension…) des dispositifs. Dans ce contexte, le sujet de ma thèse est d’optimiser et de réduire la variabilité d’une nouvelle architecture mémoire non volatile ultra basse consommation.Cette étude vise à poursuivre les travaux entamés par STMicroelectronics sur le développement, l’étude et la mise en œuvre de boucles de contrôle de type Run-to-Run (R2R) sur une nouvelle cellule mémoire ultra basse consommation. Afin d’assurer la mise en place d’une régulation pertinente, il est indispensable de pouvoir simuler l’influence des étapes du procédé de fabrication sur le comportement électrique des cellules en s’appuyant sur l’utilisation d’outils statistiques ainsi que sur une caractérisation électrique pointue
The global semiconductor market is experiencing steady growth due to the development of consumer electronics and the wake of the non-volatile memory market. The importance of these memory products has been accentuated since the beginning of the 2000s by the introduction of nomadic products such as smartphones or, more recently, the Internet of things. Because of their performance and reliability, Flash technology is currently the standard for non-volatile memory. However, the high cost of microelectronic equipment makes it impossible to depreciate them on a technological generation. This encourages industry to adapt equipment from an older generation to more demanding manufacturing processes. This strategy is not without consequence on the spread of the physical characteristics (geometric dimension, thickness ...) and electrical (current, voltage ...) of the devices. In this context, the subject of my thesis is “Optimization and reduction of the variability of a new architecture ultra-low power non-volatile memory”.This study aims to continue the work begun by STMicroelectronics on the improvement, study and implementation of Run-to-Run (R2R) control loops on a new ultra-low power memory cell. In order to ensure the implementation of a relevant regulation, it is essential to be able to simulate the process manufacturing influence on the electrical behavior of the cells, using statistical tools as well as the electric characterization
Styles APA, Harvard, Vancouver, ISO, etc.
45

Bouaziz, Jordan. « Mémoires ferroélectriques non-volatiles à base de (Hf,Zr)O2 pour la nanoélectronique basse consommation ». Thesis, Lyon, 2020. http://www.theses.fr/2020LYSEI057.

Texte intégral
Résumé :
Depuis 2005, la miniaturisation des composants mémoires, qui, auparavant, suivait la loi de Moore, a ralenti. Ceci a conduit les chercheurs à multiplier les approches pour continuer à améliorer les dispositifs mémoires. Parmi ces approches, la piste des composants ferroélectriques semble très prometteuse. En 2011, une équipe du NamLab, à Dresde, en Allemagne, a découvert que le HfO2 dopé Si pouvait devenir ferroélectrique, avec une couche isolante de seulement 10 nm, ce qui résout le problème de compatibilité avec l’industrie CMOS des matériaux de structure pérovskite. Depuis, d’autres dopants ont été découverts. Cependant, de nouveaux problèmes freinent désormais l’apparition sur le marché des dispositifs ferroélectriques à base de HfO2. Comprendre les mécanismes qui régissent les propriétés ferroélectriques de ces matériaux est alors devenu un enjeu industriel majeur. Dans ce manuscrit, nous étudions le (Hf,Zr)O2 (HZO), et nous employons une technique peu utilisée pour élaborer ce type de matériau : la pulvérisation cathodique magnétron. L’objectif de cette thèse est d’établir des relations entre les conditions de croissance des différents matériaux et les propriétés électriques, de comprendre les mécanismes qui les régissent, ainsi que de rendre viable les dispositifs mémoires. Lors de l’élaboration de condensateurs, nous démontrons que des propriétés cristallochimiques particulières sont indispensables pour obtenir la ferroélectricité, et de nouvelles propriétés du HZO sont découvertes. Ensuite, nous cherchons à dépasser l’état de l’art. Par pulvérisation, nous obtenons parmi les meilleurs résultats au monde. Les tests industriels d’endurance et de rétention sont poussés au-delà de ce qui avait été fait auparavant dans la littérature. En particulier, l’influence des conditions de contraintes électriques y est décrite en détail, et nous mettons en évidence la présence d’une relaxation au cours des différents tests pouvant s’avérer problématique pour l’avènement d’applications industriels. Ce problème ne semble jamais avoir été clairement identifié auparavant
Since 2005, the scaling of memory devices, which used to follow Moore's law, slowed down. This lead researchers to conduct multiple approaches in order to keep improving memory devices. Among these approaches, the pathway on ferroelectric components seems very promising. In 2011, a research team from the NamLab in Dresden, Germany, discovered that Si-doped HfO2 could become ferroelectric with an insulating layer of only 10 nm, which resolves the compatibility issue of perovskite-structured materials with CMOS industry. Since then, other dopants have been investigated. However, new issues are now slowing down the emergence of HfO2-based ferroelectric devices on the market. Understanding the mechanisms behind the ferroelectric properties of these materials has, therefore, become a major industrial issue. In this manuscript, we study (Hf,Zr)O2 (HZO), and we perform an under-utilized technique to elaborate this kind of material: magnetron sputtering. The goal of this thesis is to establish connections between the growth conditions of this material and the electrical properties, to understand the mechanisms behind them, as well as to make the memory devices viable. During the fabrication of the capacitors, we demonstrate that the particular cristallochemical properties are essential to obtain ferroelectricity, and that novel HZO properties are discovered. Afterwards, we seek to cross the state of the art. The results we obtain by sputtering are among the best in the world. The industrial endurance and retention tests are pushed beyond what has been done in the literature so far. Particularly, the influence of electrical stress conditions is thoroughly detailed, and we put to evidence the presence of a relaxation during the different tests that could turn out to become problematic for the emergence of industrial applications. It does not seem that this problem has been identified beforehand
Styles APA, Harvard, Vancouver, ISO, etc.
46

Ketfi-Cherif, Ahmed. « Modélisation mathématique d'organes de véhicules automobiles à basse consommation : applications en simulation, estimation et commande ». Paris 9, 1999. https://portail.bu.dauphine.fr/fileviewer/index.php?doc=1999PA090037.

Texte intégral
Résumé :
Dans cette étude, on s'est intéressé à certains organes intervenant dans des véhicules automobiles à basse consommation. Ces organes sont : les capteurs de gaz, les catalyseurs de type piège à Nox, utilisés à l'échappement des moteurs à injection directe et les batteries de type lithium-ion utilisées dans les véhicules hybrides. La mise en équation des phénomènes physico-chimiques mis en jeu par chacun de ces organes nous a montré qu'ils peuvent être tous décrits par une même classe de modèles mathématiques. Il s'agit d'un système particulier de type réaction-transport-diffusion de dimension 1 en espace. Une fois cette classe de modèles mise en évidence, nous avons pu déployer plusieurs méthodes de réduction : la séparation des échelles de temps, l'agrégation de variables et la semi-discrétisation. Une partie des modèles réduits obtenus par application de ces méthodes a ensuite été validée expérimentalement et utilisée dans plusieurs applications en simulation, estimation et commande
Styles APA, Harvard, Vancouver, ISO, etc.
47

Tolza, Xavier. « Techniques embarquées de localisation indoor exploitant le protocole Bluetooth pour des objets connectés basse consommation ». Thesis, Toulouse, INSA, 2020. http://www.theses.fr/2020ISAT0013.

Texte intégral
Résumé :
La localisation est une information exploitée par de nombreux domaines aussi bien dans le civil que le militaire : guerre, exploration, navigation aéronautique/navale/spatiale, construction, logistique, etc.Depuis la seconde guerre mondiale et la démocratisation des équipements radios grand public (téléphones, récepteurs GNSS, etc.), la localisation par ondes radio s'est progressivement imposée comme la méthode standard de localisation dans un grand nombre de domaines.Depuis le siècle dernier jusqu'à aujourd'hui, les capacités des systèmes de localisation ont augmentées, grâce à l'amélioration constante de la puissance de calcul des circuits intégrés et leur miniaturisation, permettant d'intégrer des fonctionnalités de localisation dans un nombre croissant d'équipements mobiles. La mesure des signaux radios et les calculs nécessaires à l'estimation de position peuvent maintenant se faire sur des équipements embarqués de taille réduite.Cependant, les solutions actuelles utilisent la plupart du temps des équipements radios fixes et alimentés en filaire pour permettre la localisation des objets connectés mobiles. Or, il est préférable pour de nombreux cas d'utilisation que l'intégralité du système soit sur batteries : déploiements temporaires, environnements sans réseau d'alimentation, coûts d'installation trop importants. Il est donc nécessaire d'évaluer et d'améliorer l'autonomie énergétique des algorithmes de localisation actuels.De nombreux objets connectés sont déjà en circulation, la recherche autour d'un système de localisation compatible avec ces équipements déjà existants suscite un grand intérêt de recherche. Une grande partie des objets connectés actuels utilisant des protocoles standardisés dans la bande ISM 2.4GHZ, de nombreuses études évaluent la pertinence des protocoles radio standard qui l'utilisent. Le standard Bluetooth est très répandu et économe en énergie, ce qui en fait un candidat intéressant pour la localisation en intérieur. Les études présentant un système de localisation utilisant ce protocole sont nombreuses, mais il n'y a pas de comparatif concluant sur les performances des principaux algorithmes existants en utilisant un matériel ultra basse consommation.L'objectif de cette étude est d'étudier et de développer un système de localisation appliqué à la localisation en intérieur, utilisant le protocole Bluetooth et entièrement autonome sur batterie. Une comparaison entre les principales techniques et algorithmes déjà existants (puissance de réception (RSSI), temps d'arrivée (ToA) et angle d'arrivée (AoA)) sera effectuée et l'autonomie énergétique sera évaluée.Dans un premier temps, les modèles physiques de ces trois techniques sont comparées, et une optimisation paramétrique permettant de remonter à une position à partir des mesures brutes est présentée. Différentes stratégies de gestion de l'énergie sont présentées et les méthodes utilisées pour effectuer les mesures pour la localisation à basse consommation sont détaillées.Finalement, Les performances énergétiques du système sont évaluées, l'autonomie globale est estimée et la fonction de répartition de l'erreur de position est donnée pour chacune des trois techniques comparées
Localization is an information used by many fields in both the civil and military sectors: war, exploration, aeronautical/naval/space navigation, construction, logistics, etc.Since the Second World War and the democratization of consumer radio equipment (telephones, GNSS receivers, etc.), localization by radio waves has gradually established itself as the standard method of localization in a large number of fields.From the last century until today, the capacities of localization systems have increased, thanks to the constant improvement of the computing power of integrated circuits and their miniaturization, making it possible to integrate localization functionalities in an increasing number mobile equipment. The measurement of radio signals and the calculations necessary for position estimation can now be performed on small on-board equipment.However, current solutions mostly use fixed and wired radio equipment to allow the location of mobile connected objects. However, it is preferable for many use cases that the entire system runs on batteries: temporary deployments, environments without a power network or too high installation costs. It is therefore necessary to assess and improve the energy autonomy of current location algorithms.Many connected objects are already in circulation, research around a location system compatible with those already existing equipment arouses great research interest. A large part of current connected objects using standardized protocols in the 2.4GHZ ISM band, numerous studies assess the relevance of those signals for indoor positioning. The Bluetooth standard is widely used and energy efficient, making it an attractive candidate for indoor location. The studies presenting a localization system using this protocol are numerous, but there is no conclusive comparison on the performances of the main existing algorithms using ultra low consumption equipment.The objective of this study is to study and develop a localization system applied to indoor localization, using the Bluetooth protocol and fully autonomous on battery. A comparison between the main existing techniques and algorithms (reception power (RSSI), arrival time (ToA) and angle of arrival (AoA)) will be carried out and energy autonomy will be assessed.First, the physical models of these three techniques are compared, and a parametric optimization to estimate a position from the raw measurements is presented. Different energy management strategies are presented and the methods used to carry out the measurements for location with low consumption are detailed.Finally, the energy performance of the system is evaluated, the overall autonomy is estimated and the position error distribution function is given for each of the three compared techniques
Styles APA, Harvard, Vancouver, ISO, etc.
48

Hardy, Emmanuel. « Etude et développement d'un amplificateur audio de classe D intégré haute performance et basse consommation ». Thesis, Aix-Marseille, 2013. http://www.theses.fr/2013AIXM4722/document.

Texte intégral
Résumé :
De nombreux dispositifs embarqués récents comme les téléphones portables, les GPS ou encore les consoles de jeu, possèdent un ou des haut-parleurs, chacun étant piloté par un amplificateur audio sur circuit intégré. De tels amplificateurs audio doivent répondre le mieux possible à quatre contraintes : une qualité audio satisfaisante, une immunité aux perturbations induites par le système, une faible consommation et une surface de silicium minimale. Ce travail de thèse sous contrat CIFRE a pour origine la création de l’entreprise Primachip en mai 2009 par Christian Dufaza et Hassan Ihs. Cette startup a été bâtie sur une architecture innovante d’amplificateur audio de classe D intégré. Son originalité repose sur le principe de rétroaction partielle qui s’applique à une boucle contenant un modulateur numérique Delta Sigma (ΔΣ) qui pilote l’étage de puissance et un convertisseur analogique-numérique (ADC) effectuant la rétroaction. Cela permet d’obtenir la stabilité de cette boucle tout en offrant une excellente réjection des bruits de l’étage de puissance. Un prototype sur silicium de l’architecture d’amplificateur de classe D numérique a été conçu et fabriqué. Un nouvel ADC ΔΣ temps continu a été développé pour ce prototype, afin d’obtenir des performances supérieures ou égales à l’état de l’art. Les résultats obtenus sur le circuit se sont révélés encourageants, bien que toutes les spécifications n’aient pas été atteintes. L’analyse des erreurs de ce premier circuit doit permettre la réalisation d’un amplificateur intégré exploitant au mieux cette architecture de classe D numérique
Most current embedded devices, such as smartphones, GPS or portable consoles, feature one speaker or more, those speakers being driven by an integrated audio amplifier. This type of amplifier must meet four specifications: an adequate audio quality, to be immune to system disturbances, low power consumption and the smallest silicon area. This work takes its origin from the creation of Primachip in May 2009 by Christian Dufaza and Hassan Ihs. The aim of this startup was to develop and sell an innovative audio class-D amplifier for mobile market: the digital class-D concept. A partnership with the IM2NP laboratory was decided to propose a PhD topic under CIFRE contract (PhD in an industrial environment), in order to study and improve the amplifier architecture. Its originality is in the partial feedback concept which applies to a loop made of a digital ΔΣ modulator driving the power stage, with an analogue-to-digital converter (ADC) in the feedback path. It makes it possible to achieve stability while offering an outstanding power supply rejection. An integrated prototype of the class-D amplifier was designed, fabricated and evaluated. A new continuous-time ΔΣ ADC has been added to enable the digital class-D loop to achieve performances superior or equal to state of the art. The circuit measurement results were encouraging, although not ideal. The analysis of the prototype errors was performed. The conclusions should allow the design of an integrated audio amplifier making the best of the digital class-D architecture
Styles APA, Harvard, Vancouver, ISO, etc.
49

Thielleux, Julien. « Développement de dispositifs à base de composants 1D pour applications basse consommation et intelligence ambiante ». Thesis, Lille 1, 2012. http://www.theses.fr/2012LIL10190.

Texte intégral
Résumé :
Ce travail s'inscrit dans le cadre des études sur les moyens d'accéder à une forme d'intelligence ambiante. Il porte sur la réalisation par impression jet d'encre de composants micro-électronique à base de nanotube de carbone sur substrat souple.Dans un premier temps nous avons travaillé sur la mise au point de la technique d'impression jet d'encre. Des études portant sur l'influence de paramètres sur le profil des motifs imprimés ont été réalisées ( distance intergoutte, température du substrat, tension d'éjection, …). La seconde partie de cette thèse porte sur les moyens de réalisation de fines couches de nanotubes de carbone sur substrat souple. Deux méthodes ont été étudiées plus particulièrement, la méthode de dépôt par filtration/report ainsi que la méthode de dépôt assisté par APTS. La méthode par filtration/report se révèle en particulier prometteuse puisqu'elle permet rapidement d'obtenir une couche de 10 nanotubes/μm² pour une épaisseur d'un nanotube.Finalement les connaissances issues de la première partie ont été mises en application pour réaliser des composants simples par impression tels que lignes coplanaires, antennes, capacités et autre
This work is part of studies on how to reach a form of ambient intelligence. It focuses on the realization of micro-electronics devices based on carbon nanotube on a flexible substrate and by inkjet printing.In a first part we worked on the development of the inkjet printing technique. Studies on the influence of parameters on the profile of a printed patterns have been performed (drop spacing, substrate temperature, firing voltage, ...).The second part of this thesis focuses on how to achieve thin layers of carbon nanotubes on flexible substrate. Two methods have been studied, the method of deposition by filtering/transfert report and the method of APTS assisted deposition. The filtering/transfert method is proving particularly promising since it allows to quickly obtain a layer of 10 nanotubes/ microns square with a thickness of one nanotube. Finally the knowledge from the first part were used to make simple printed components such as coplanar waveguide, antennas, capacitor and others
Styles APA, Harvard, Vancouver, ISO, etc.
50

Lechaux, Yoann. « Étude et fabrication de MOSFET III-V à ionisation par impact pour applications basse consommation ». Thesis, Lille 1, 2017. http://www.theses.fr/2017LIL10058/document.

Texte intégral
Résumé :
La réduction de la puissance consommée des transistors à effet de champ (MOSFETs) est un challenge pour le futur de la nanoélectronique. En 2025, l’Agence Internationale de l’Énergie (AIE) estime qu’il y aura environ 50 milliard d’objets autonomes et nomades nécessitant alors une faible puissance consommée. L’apparition de nouveaux dispositifs tels que les transistors à effet tunnel (TFETs) ou les transistors à ionisation par impact (I MOSFETs) permettra potentiellement de réduire la puissance consommée de ces objets. Dans ce travail de thèse, nous avons étudié pour la première fois le transistor à ionisation par impact à base de matériaux III V des filières arséniée et antimoniée. La structure pin, composant principal du I MOSFET, est tout d’abord étudiée. L’ensemble des briques technologiques des I MOSFET a ensuite été développé, et en particulier l’interface entre l’oxyde et le semiconducteur III-V qui a été optimisée par un traitement innovant par plasma d’oxygène (O2). Ce traitement a montré une amélioration de la qualité de l’interface oxyde/semiconducteur conduisant à une commande des charges beaucoup plus efficace. Pour finir, nous avons montré les études, fabrications et caractérisations d’un transistor à effet tunnel InGaAs et d’un I MOSFET GaSb présentant une architecture verticale où la grille est auto-alignée
The reduction in the power consumption of field effect transistors (MOSFETs) is a challenge for the future of nanoelectronics. By 2025, the International Energy Agency (IEA) estimates that there will be around 50 billion autonomous and nomadic objects requiring low power consumption. The appearance of new devices such as tunnel effect transistors (TFETs) or impact ionization transistors (I¬ MOSFETs) will potentially reduce the power consumption of these objects.In this thesis work, we studied for the first time the impact ionization transistor based on materials III-V, especially arsenic and antimony based materials. The pin structure, the main component of the I MOSFET, is first studied. We then developed all the process steps of the I-MOSFET fabrication, and in particular we optimized the interface between the oxide and the III-V semiconductor by an innovative treatment using oxygen plasma (O2). This special treatment has shown a clear improvement in charge control. Finally, we have shown studies, fabrications and characterizations of an InGaAs based TFET and a GaSb based I MOSFET with a vertical architecture, where the gate is self-aligned
Styles APA, Harvard, Vancouver, ISO, etc.
Nous offrons des réductions sur tous les plans premium pour les auteurs dont les œuvres sont incluses dans des sélections littéraires thématiques. Contactez-nous pour obtenir un code promo unique!

Vers la bibliographie