Artículos de revistas sobre el tema "Pe(ald)"

Siga este enlace para ver otros tipos de publicaciones sobre el tema: Pe(ald).

Crea una cita precisa en los estilos APA, MLA, Chicago, Harvard y otros

Elija tipo de fuente:

Consulte los 50 mejores artículos de revistas para su investigación sobre el tema "Pe(ald)".

Junto a cada fuente en la lista de referencias hay un botón "Agregar a la bibliografía". Pulsa este botón, y generaremos automáticamente la referencia bibliográfica para la obra elegida en el estilo de cita que necesites: APA, MLA, Harvard, Vancouver, Chicago, etc.

También puede descargar el texto completo de la publicación académica en formato pdf y leer en línea su resumen siempre que esté disponible en los metadatos.

Explore artículos de revistas sobre una amplia variedad de disciplinas y organice su bibliografía correctamente.

1

Onaya, Takashi y Koji Kita. "(Invited) Role of Oxidant Gas for Atomic Layer Deposition of HfxZr1−XO2 Thin Films on Ferroelectricity of Metal-Ferroelectric-Metal Capacitors". ECS Transactions 113, n.º 2 (17 de mayo de 2024): 51–59. http://dx.doi.org/10.1149/11302.0051ecst.

Texto completo
Resumen
We fabricated 400°C-annealed TiN/HfxZr1−xO2 (HZO)/TiN metal–ferroelectric–metal (MFM) capacitors using H2O and O2 plasma as oxidant gases of thermal (TH) and plasma-enhanced atomic layer deposition (PE-ALD), respectively, for HZO films. The PE-ALD film formed a more ferroelectric orthorhombic phase compared with the TH-ALD case. Therefore, the MFM capacitor with the PE-ALD film showed higher remanent polarization and dielectric constant. For the PE-ALD case, moreover, an oxygen-rich interfacial layer (O-rich-IL) was formed between the HZO film and TiN-bottom electrode during the ALD process. Thus, the MFM capacitor with the PE-ALD film showed less degradation of switching polarization during field cycling compared with the TH-ALD case, because an O-rich-IL should prevent the interface reaction and formation of additional oxygen vacancies in the PE-ALD film during field cycling. Based on these results, it is important to consider the selection of an ALD oxidant gas for the fabrication of HZO-based MFM capacitors.
Los estilos APA, Harvard, Vancouver, ISO, etc.
2

Haeberle, Jörg, Karsten Henkel, Hassan Gargouri, Franziska Naumann, Bernd Gruska, Michael Arens, Massimo Tallarida y Dieter Schmeißer. "Ellipsometry and XPS comparative studies of thermal and plasma enhanced atomic layer deposited Al2O3-films". Beilstein Journal of Nanotechnology 4 (8 de noviembre de 2013): 732–42. http://dx.doi.org/10.3762/bjnano.4.83.

Texto completo
Resumen
We report on results on the preparation of thin (<100 nm) aluminum oxide (Al2O3) films on silicon substrates using thermal atomic layer deposition (T-ALD) and plasma enhanced atomic layer deposition (PE-ALD) in the SENTECH SI ALD LL system. The T-ALD Al2O3 layers were deposited at 200 °C, for the PE-ALD films we varied the substrate temperature range between room temperature (rt) and 200 °C. We show data from spectroscopic ellipsometry (thickness, refractive index, growth rate) over 4” wafers and correlate them to X-ray photoelectron spectroscopy (XPS) results. The 200 °C T-ALD and PE-ALD processes yield films with similar refractive indices and with oxygen to aluminum elemental ratios very close to the stoichiometric value of 1.5. However, in both also fragments of the precursor are integrated into the film. The PE-ALD films show an increased growth rate and lower carbon contaminations. Reducing the deposition temperature down to rt leads to a higher content of carbon and CH-species. We also find a decrease of the refractive index and of the oxygen to aluminum elemental ratio as well as an increase of the growth rate whereas the homogeneity of the film growth is not influenced significantly. Initial state energy shifts in all PE-ALD samples are observed which we attribute to a net negative charge within the films.
Los estilos APA, Harvard, Vancouver, ISO, etc.
3

Van Daele, Michiel, Christophe Detavernier y Jolien Dendooven. "Surface species during ALD of platinum observed with in situ reflection IR spectroscopy". Physical Chemistry Chemical Physics 20, n.º 39 (2018): 25343–56. http://dx.doi.org/10.1039/c8cp03585g.

Texto completo
Resumen
Thermal atomic layer deposition (ALD) and plasma-enhanced ALD (PE-ALD) of Pt, using MeCpPtMe3 as the precursor and O2 gas or O2 plasma as the reactant, are studied with in situ reflection Fourier transform infrared spectroscopy (FTIR) at different substrate temperatures.
Los estilos APA, Harvard, Vancouver, ISO, etc.
4

Mione, M. A., V. Vandalon, W. M. M. Kessels y F. Roozeboom. "Temperature study of atmospheric-pressure plasma-enhanced spatial ALD of Al2O3 using infrared and optical emission spectroscopy". Journal of Vacuum Science & Technology A 40, n.º 6 (diciembre de 2022): 062407. http://dx.doi.org/10.1116/6.0002158.

Texto completo
Resumen
Atmospheric-pressure plasma-enhanced spatial atomic layer deposition (PE-s-ALD) is considered a promising technique for high-throughput and low-temperature deposition of ultrathin films for applications where volume and costs are particularly relevant. The number of atmospheric-pressure PE-s-ALD processes developed so far is rather limited, and the fundamental aspects of their growth mechanisms are largely unexplored. This work presents a study of the atmospheric-pressure PE-s-ALD process of Al2O3 using trimethylaluminum [TMA, Al(CH3)3] and Ar–O2 plasma within the temperature range of 80–200 °C. Thin-film analysis revealed low impurity contents and a decreasing growth-per-cycle (GPC) with increasing temperature. The underlying chemistry of the process was studied with a combination of gas-phase infrared spectroscopy on the exhaust plasma gas and optical emission spectroscopy (OES) on the plasma zone. Among the chemical species formed in the plasma half-cycle, CO2, H2O, CH4, and CH2O were identified. The formation of these products confirms that the removal of CH3 ligands during the plasma half-cycle occurs through two reaction pathways that have a different temperature dependences: (i) combustion reactions initiated by O2 plasma species and leading to CO2 and H2O formation and (ii) thermal ALD-like reactions initiated by the H2O molecules formed in pathway (i) and resulting in CH4 production. With increasing temperature, the dehydroxylation of OH groups cause less TMA adsorption which leads to less CO2 and H2O from the combustion reactions in the plasma step. At the same time, the higher reactivity of H2O at higher temperatures initiates more thermal ALD-like reactions, thus producing relatively more CH4. The CH4 can also undergo further gas-phase reactions leading to the formation of CH2O as was theoretically predicted. Another observation is that O3, which is naturally produced in the atmospheric-pressure O2 plasma, decomposes at higher temperatures mainly due to an increase of gas-phase collisions. In addition to the new insights into the growth mechanism of atmospheric-pressure PE-s-ALD of Al2O3, this work presents a method to study both the surface chemistry during spatial ALD to further extend our fundamental understanding of the method.
Los estilos APA, Harvard, Vancouver, ISO, etc.
5

Do Nascimento, Cleonilde Maria, Alex José de Melo Silva, Jéssica Paula Lucena, Juliana Ellen de Melo Gama, Cícero Jádson Da Costa, Elane Beatriz de Jesus Oliveira, Danielle Maria Nascimento Moura, Helotonio Carvalho y Sheilla Andrade De Oliveira. "Epidemiological profile of the main prevalent liver diseases in Brazil Northeast and possible impacts associated with the COVID-19 pandemic". Cuadernos de Educación y Desarrollo 15, n.º 12 (21 de diciembre de 2023): 16916–41. http://dx.doi.org/10.55905/cuadv15n12-096.

Texto completo
Resumen
Liver diseases especially hepatitis, alcoholic liver disease (ALD), and cancer are among the main causes of morbidity-mortality worldwide. Here, we analyzed data on hospital admissions, prevalence and mortality rates due to ALD, liver cancer, viral hepatitis and schistosomiasis in northeastern Brazil between 2000-2020, and investigate possible impacts caused by the COVID-19 pandemic in these data. In this period, Pernambuco (PE) and Bahia (BA) stood out for the growth in admissions for ALD: 707.2% and 177.3%, respectively; Paraiba (PB), Ceará (CE), and Alagoas (AL) showed the highest prevalence: 9.8%, 7.9%, 6.8%, respectively; and Maranhão (MA) showed the lowest: 2.1%. The highest mortality rates were RN (22.8%), SE (22.1%), and the lowest, PI (9.5%). For Neoplasms, we highlight PE, BA, and CE with increases of 659.4%, 934.6%, and 1,069.6% in admissions, respectively. Regarding mortality, the highest averages were observed for BA (31.1%), and CE (17.9%). However, in this period, admissions due to hepatitis were reduced about 70% in the country. The highest prevalence means in the period were MA (7.37%) and PE (6.38%). PE had the highest mean mortality (9.1%), followed by BA (7.1%). Hospitalizations for schistosomiasis decreased between 2000-2020, with emphasis to AL (98.4%), BA (92.3%), and PE (93.4%). The same was observed for prevalence and mortality. Analyzing admissions data from 2020 compared to the average from 2015 to 2019, a significant decrease in hospitalizations due to hepatitis, ALD, and schistosomiasis was observed. However, admissions for liver cancer increased. These data show the importance of epidemiological screening of liver diseases in Brazilian regions and highlight the need for a thorough mapping of the real impact of the COVID-19 pandemic on health data recording systems in the country.
Los estilos APA, Harvard, Vancouver, ISO, etc.
6

Roy, Amit K., Jolien Dendooven, Davy Deduytsche, Kilian Devloo-Casier, Kim Ragaert, Ludwig Cardon y Christophe Detavernier. "Plasma-enhanced atomic layer deposition: a gas-phase route to hydrophilic, glueable polytetrafluoroethylene". Chemical Communications 51, n.º 17 (2015): 3556–58. http://dx.doi.org/10.1039/c4cc09474c.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
7

Gebhard, M., F. Mitschker, M. Wiesing, I. Giner, B. Torun, T. de los Arcos, P. Awakowicz, G. Grundmeier y A. Devi. "An efficient PE-ALD process for TiO2 thin films employing a new Ti-precursor". Journal of Materials Chemistry C 4, n.º 5 (2016): 1057–65. http://dx.doi.org/10.1039/c5tc03385c.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
8

Karbalaei Akbari, Mohammad, Nasrin Siraj Lopa y Serge Zhuiykov. "Atomic Layer Deposition of Ultra-Thin Crystalline Electron Channels for Heterointerface Polarization at Two-Dimensional Metal-Semiconductor Heterojunctions". Coatings 13, n.º 6 (3 de junio de 2023): 1041. http://dx.doi.org/10.3390/coatings13061041.

Texto completo
Resumen
Atomic layer deposition (ALD) has emerged as a promising technology for the development of the next generation of low-power semiconductor electronics. The wafer-scaled growth of two-dimensional (2D) crystalline nanostructures is a fundamental step toward the development of advanced nanofabrication technologies. Ga2O3 is an ultra-wide bandgap metal oxide semiconductor for application in electronic devices. The polymorphous Ga2O3 with its unique electronic characteristics and doping capabilities is a functional option for heterointerface engineering at metal-semiconductor 2D heterojunctions for application in nanofabrication technology. Plasma-enhanced atomic layer deposition (PE-ALD) enabled the deposition of ultra-thin nanostructures at low-growth temperatures. The present study used the PE-ALD process for the deposition of atomically thin crystalline ß-Ga2O3 films for heterointerface engineering at 2D metal-semiconductor heterojunctions. Via the control of plasma gas composition and ALD temperature, the wafer-scaled deposition of ~5.0 nm thick crystalline ß-Ga2O3 at Au/Ga2O3-TiO2 heterointerfaces was achieved. Material characterization techniques showed the effects of plasma composition and ALD temperature on the properties and structure of Ga2O3 films. The following study on the electronic characteristics of Au/Ga2O3-TiO2 2D heterojunctions confirmed the tunability of this metal/semiconductor polarized junction, which works as functional electron channel layer developed based on tunable p-n junctions at 2D metal/semiconductor interfaces.
Los estilos APA, Harvard, Vancouver, ISO, etc.
9

Dobbelaere, Thomas, Felix Mattelaer, Amit Kumar Roy, Philippe Vereecken y Christophe Detavernier. "Plasma-enhanced atomic layer deposition of titanium phosphate as an electrode for lithium-ion batteries". Journal of Materials Chemistry A 5, n.º 1 (2017): 330–38. http://dx.doi.org/10.1039/c6ta04179e.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
10

Park, Yongju, Woonyoung Lee, Yongkook Choi, Hyunkyu Lee y Jinseong Park. "Characteristics of Tin Oxide Thin Films Deposited by PE-ALD". Korean Journal of Materials Research 14, n.º 12 (1 de diciembre de 2004): 840–45. http://dx.doi.org/10.3740/mrsk.2004.14.12.840.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
11

Lee, W., K. Hong, Y. Park, N. H. Kim, Y. Choi y J. Park. "Surface and sensing properties of PE-ALD SnO2 thin film". Electronics Letters 41, n.º 8 (2005): 475. http://dx.doi.org/10.1049/el:20058174.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
12

Gudovskikh, Alexander S., Alexander V. Uvarov, Ivan A. Morozov, Artem I. Baranov, Dmitry A. Kudryashov, Kirill S. Zelentsov, Alexandre Jaffré et al. "Interface Properties of GaP/Si Heterojunction Fabricated by PE‐ALD". physica status solidi (a) 216, n.º 10 (18 de diciembre de 2018): 1800617. http://dx.doi.org/10.1002/pssa.201800617.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
13

Xiao, Zhigang, Kim Kisslinger y Rebhadevi Monikandan. "Atomic Layer Deposition of Nanolayered Carbon Films". C 7, n.º 4 (27 de septiembre de 2021): 67. http://dx.doi.org/10.3390/c7040067.

Texto completo
Resumen
In this paper, carbon thin films were grown using the plasma-enhanced atomic layer deposition (PE-ALD). Methane (CH4) was used as the carbon precursor to grow the carbon thin film. The grown film was analyzed by the high-resolution transmission electron micrograph (TEM), X-ray photoelectron spectroscopy (XPS) analysis, and Raman spectrum analysis. The analyses show that the PE-ALD-grown carbon film has an amorphous structure. It was found that the existence of defective sites (nanoscale holes or cracks) on the substrate of copper foil could facilitate the formation of nanolayered carbon films. The mechanism for the formation of nanolayered carbon film in the nanoscale holes was discussed. This finding could be used for the controlled growth of nanolayered carbon films or other two-dimensional nanomaterials while combining with modern nanopatterning techniques.
Los estilos APA, Harvard, Vancouver, ISO, etc.
14

Fang, Guo-Yong, Li-Na Xu, Yan-Qiang Cao, Lai-Guo Wang, Di Wu y Ai-Dong Li. "Self-catalysis by aminosilanes and strong surface oxidation by O2 plasma in plasma-enhanced atomic layer deposition of high-quality SiO2". Chemical Communications 51, n.º 7 (2015): 1341–44. http://dx.doi.org/10.1039/c4cc08004a.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
15

Kim, Myoungsub, Youngjun Kim, Minkyu Lee, Seok Man Hong, Hyung Keun Kim, Sijung Yoo, Taehoon Kim, Seung-min Chung, Taeyoon Lee y Hyungjun Kim. "PE-ALD of Ge1−xSx amorphous chalcogenide alloys for OTS applications". Journal of Materials Chemistry C 9, n.º 18 (2021): 6006–13. http://dx.doi.org/10.1039/d1tc00650a.

Texto completo
Resumen
Three-dimensional (3D) cross-point (X-point) technology, including amorphous chalcogenide-based ovonic threshold switching (OTS) selectors, is bringing new changes to the memory hierarchy for high-performance computing systems.
Los estilos APA, Harvard, Vancouver, ISO, etc.
16

Baranov, A. I., A. S. Gudovskikh, A. Darga, S. Le Gall y J.-P. Kleider. "Capacitance characterization of GaP/n-Si structures grown by PE-ALD". Journal of Physics: Conference Series 917 (noviembre de 2017): 052027. http://dx.doi.org/10.1088/1742-6596/917/5/052027.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
17

Baranov, Artem I., Alexander S. Gudovskikh, Dmitriy A. Kudryashov, Ivan A. Morozov, Alexey M. Mozharov, Ekaterina V. Nikitina, Kirill S. Zelentsov, Arouna Darga, Sylvain Le Gall y Jean-Paul Kleider. "Influence of PE-ALD of GaP on the Silicon Wafers Quality". physica status solidi (a) 214, n.º 12 (9 de octubre de 2017): 1700685. http://dx.doi.org/10.1002/pssa.201700685.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
18

Schilirò, Emanuela, Salvatore di Franco, Patrick Fiorenza, Corrado Bongiorno, Hassan Gargouri, Mario Saggio, Raffaella Lo Nigro y Fabrizio Roccaforte. "Atomic Layer Deposition of Al2O3 Thin Films for Metal Insulator Semiconductor Applications on 4H-SiC". Materials Science Forum 858 (mayo de 2016): 685–88. http://dx.doi.org/10.4028/www.scientific.net/msf.858.685.

Texto completo
Resumen
This work reports on the growth and characterization of Al2O3 films on 4H-SiC, by Plasma Enhanced-Atomic Layer Deposition (PE-ALD). Different techniques were used to investigate the morphological, structural and electrical features of the Al2O3 films, both with and without the presence of a thin SiO2 layer, thermally grown on the 4H-SiC before ALD. Capacitance-voltage measurements on MOS structures resulted in a higher dielectric constant (ε~8.4) for the Al2O3/SiO2/SiC stack, with respect to that of the Al2O3/SiC sample (ε~ 6.7). Moreover, C<em>urrent density-Electric Field</em> measurements demonstrated a reduction of the leakage current and an improvement of the breakdown behaviour in the presence of the interfacial thermally grown SiO2. Basing on these preliminary results, possible applications of ALD-Al2O3 as gate insulator in 4H-SiC MOSFETs can be envisaged.
Los estilos APA, Harvard, Vancouver, ISO, etc.
19

Wei, Wenlu, Baojun Yan, Yuekun Heng, Shulin Liu, Binting Zhang, Huaxing Peng, Yuman Wang y Kaile Wen. "Secondary electron emission characteristics of alumina coating on metallic substrate prepared by atomic layer deposition". Journal of Instrumentation 18, n.º 02 (1 de febrero de 2023): P02002. http://dx.doi.org/10.1088/1748-0221/18/02/p02002.

Texto completo
Resumen
Abstract The main objective of this study is to research the secondary electron emission characteristic of different thickness alumina coatings which are prepared by atomic layer deposition (ALD) technique on several metallic secondary-emitting materials. The experimental results reveal that secondary electron yield (SEY) property concerned with the metal work function and the surface topography of alumina coatings when coating thickness is in the nanometer level. In addition, after utilizing SEY measurement setup and pulsed electron gun, the SEY curves of metallic substrates with different thickness alumina coatings and primary energy (PE) of incident electron could be measured. From an analysis of the SEY curves, some key parameters related to secondary electron emission characteristics such as the maximum SEY value and its corresponding PE of incident electron, and the penetration depth of incident electron can be obtained. Furthermore, basing on the ALD technique and on the SEY results of stainless steel substrate coated with 80-cycle alumina thin film, a novel 18-stage discrete dynode electron multiplier (DDEM) was fabricated and tested. The gain of DDEM 1.6× 106 was obtained.
Los estilos APA, Harvard, Vancouver, ISO, etc.
20

Lee, Si Young, Julia D. Lenef, Kalyn M. Fuelling, Kevin Enrique Rivera Cruz, Aditya Prajapati, Daniel O. Delgado Cornejo, Tae H. Cho et al. "Atomic Layer Deposition of Cu Catalysts on Gas Diffusion Electrodes for Electrochemical CO2 Reduction". ECS Meeting Abstracts MA2024-01, n.º 37 (9 de agosto de 2024): 2198. http://dx.doi.org/10.1149/ma2024-01372198mtgabs.

Texto completo
Resumen
Electrochemical CO2 conversion is gaining attention as an important process for carbon fixation through the use of renewable electricity to address the climate change crisis. Copper is a unique single-element catalyst that can induce C-C coupling reactions to generate high-value-added compounds. The triple phase boundary has been successfully controlled at the catalyst interface using gas diffusion electrodes (GDEs) over the past few years, resulting in high C-C coupling performance. Therefore, methods to engineer the catalyst interface on the 3D structure of GDE are of significant importance. Generally, the catalyst on the GDE is introduced through physical vaper deposition (PVD) using sputtering or E-beam evaporation, or through solution methods such as spray coating and drop-casting of nano-particles. However, the porous and tortuous 3D structure of the GDE often result in limited conformality and difficulty in obtaining a uniform and controlled infiltration of the catalyst into the support structure. In this study, we synthesized Cu catalysts directly onto GDE surfaces using plasma-enhanced atomic layer deposition (PE-ALD), which allowed for precise tuning of the catalyst size and loading at the nanoscale [1]. The synthesized catalysts were confirmed to be polycrystalline Cu nanoparticles using grazing incidence X-ray diffraction. To demonstrate the advantages of the conformal and tunable control of PE-ALD deposition, we deposited the same areal mass loading of Cu catalyst onto the GDE substrates using PVD, and compared the CO2 reduction activity in an H-cell environment. The PE-ALD Cu catalyst showed more than 3 times higher current density than the PVD catalyst at the same electrode potential, a low (~10%) Faradaic efficiency (FE) for the hydrogen evolution reaction (HER), and greater than 75% FE for C-C coupling to form C2+ products including ethylene and ethanol, which is comparable to the highest performances reported to date for metallic Cu catalysts in a H-cell environment. Furthermore, stable operation was observed for a duration of 15 hours, with minimal changes in activity and selectivity. In conclusion, the introduction of catalysts using PE-ALD on GDE electrodes represents a powerful new synthesis method that can increase both C-C coupling performance and selectivity compared to PVD deposition, due to its adjustable Cu nanoparticle size and high conformality. Reference 1) J. D. Lenef, S.Y. Lee, K. M. Fuelling, K. E. Rivera Cruz, A. Prajapati, D. O. D. Cornejo, T. H. Cho, K. Sun, E. Alvarado, T. S. Arthur, C. A. Roberts, C. Hahn, C. C. L. McCrory, N. P. Dasgupta, Nano Lett. 23, 10779-10787 (2023)
Los estilos APA, Harvard, Vancouver, ISO, etc.
21

Perrotta, Alberto, Julian Pilz, Stefan Pachmajer, Antonella Milella y Anna Maria Coclite. "On the transformation of “zincone”-like into porous ZnO thin films from sub-saturated plasma enhanced atomic layer deposition". Beilstein Journal of Nanotechnology 10 (21 de marzo de 2019): 746–59. http://dx.doi.org/10.3762/bjnano.10.74.

Texto completo
Resumen
The synthesis of nanoporous ZnO thin films is achieved through annealing of zinc-alkoxide (“zincone”-like) layers obtained by plasma-enhanced atomic layer deposition (PE-ALD). The zincone-like layers are deposited through sub-saturated PE-ALD adopting diethylzinc and O2 plasma with doses below self-limiting values. Nanoporous ZnO thin films were subsequently obtained by calcination of the zincone-like layers between 100–600 °C. Spectroscopic ellipsometry (SE) and X-ray diffraction (XRD) were adopted in situ during calcination to investigate the removal of carbon impurities, development of controlled porosity, and formation and growth of ZnO crystallites. The layers developed controlled nanoporosity in the range of 1–5%, with pore sizes between 0.27 and 2.00 nm as measured with ellipsometric porosimetry (EP), as a function of the plasma dose and post-annealing temperature. Moreover, the crystallinity and crystallite orientation could be tuned, ranging from a powder-like to a (100) preferential growth in the out-of-plane direction, as measured by synchrotron-radiation grazing incidence XRD. Calcination temperature ranges were identified in which pore formation and subsequent crystal growth occurred, giving insights in the manufacturing of nanoporous ZnO from Zn-based hybrid materials.
Los estilos APA, Harvard, Vancouver, ISO, etc.
22

Perrotta, Alberto, Julian Pilz, Roland Resel, Oliver Werzer y Anna Maria Coclite. "Initial Growth and Crystallization Onset of Plasma Enhanced-Atomic Layer Deposited ZnO". Crystals 10, n.º 4 (10 de abril de 2020): 291. http://dx.doi.org/10.3390/cryst10040291.

Texto completo
Resumen
Direct plasma enhanced-atomic layer deposition (PE-ALD) is adopted for the growth of ZnO on c-Si with native oxide at room temperature. The initial stages of growth both in terms of thickness evolution and crystallization onset are followed ex-situ by a combination of spectroscopic ellipsometry and X-ray based techniques (diffraction, reflectivity, and fluorescence). Differently from the growth mode usually reported for thermal ALD ZnO (i.e., substrate-inhibited island growth), the effect of plasma surface activation resulted in a substrate-enhanced island growth. A transient region of accelerated island formation was found within the first 2 nm of deposition, resulting in the growth of amorphous ZnO as witnessed with grazing incidence X-ray diffraction. After the islands coalesced and a continuous layer formed, the first crystallites were found to grow, starting the layer-by-layer growth mode. High-temperature ALD ZnO layers were also investigated in terms of crystallization onset, showing that layers are amorphous up to a thickness of 3 nm, irrespective of the deposition temperature and growth orientation.
Los estilos APA, Harvard, Vancouver, ISO, etc.
23

Dallaev, Rashid. "Investigation of hydrogen impurities in PE-ALD AlN thin films by IBA methods". Vacuum 193 (noviembre de 2021): 110533. http://dx.doi.org/10.1016/j.vacuum.2021.110533.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
24

Gudovskikh, A. S., A. V. Uvarov, I. A. Morozov, A. I. Baranov, D. A. Kudryashov, E. V. Nikitina, A. A. Bukatin et al. "Si doped GaP layers grown on Si wafers by low temperature PE-ALD". Journal of Renewable and Sustainable Energy 10, n.º 2 (marzo de 2018): 021001. http://dx.doi.org/10.1063/1.5000256.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
25

Prapaipong, Chanitda, Dheerawan Boonyawan, Chanchai Umongno, Supab Choopun y Pipat Ruankham. "A Microwave Driven PE-ALD for Ultrathin Al2O3/ZnO Synthesis over Perovskite Layer". Materials Today: Proceedings 17 (2019): 1521–30. http://dx.doi.org/10.1016/j.matpr.2019.06.177.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
26

Simon, Nicolai, Maria Asplund, Thomas Stieglitz y Volker Bucher. "Plasma Enhanced Atomic Layer Deposition of Iridium Oxide for Application in Miniaturized Neural Implants". Current Directions in Biomedical Engineering 7, n.º 2 (1 de octubre de 2021): 539–42. http://dx.doi.org/10.1515/cdbme-2021-2137.

Texto completo
Resumen
Abstract High quality recording of neuronal activities and electrical stimulation require neurotechnical implants with appropriate electrode material. Iridium oxide (IrOx) is an excellent choice of material due to its biocompatibility, low electrochemical impedance, superior charge injection capacity, corrosion resistance, longevity, and electrochemical stability. Plasma enhanced atomic layer deposition (PE-ALD) and a suitable precursor, like (Methylcyclopentadienyl) (1,5- cyclooctadiene) iridium, could be a promising technique to produce highly conformal and performant IrOx-films at low temperatures and low costs. Various studies have reported the deposition of iridium oxide, but usually at very high temperatures. These processes are not suitable for polymer substrates and limit the use of such post-processing together with active implants. In this work the (Methylcyclopentadienyl) (1,5-cyclooctadiene) iridium(I) ((MeCp)Ir(COD)) precursor was used as a promising approach for depositing IrOx-films using low temperature PE-ALD. This precursor is normally used for chemical vapour deposition processes. First experiments were carried out on silicon substrates at deposition temperatures of 110 C°. The precursor was heated up to 75 °C and oxygen plasma was used as coreactant. The deposited films were analysed with EDX and AFM, showing a smooth surface and a promising ratio between the elements iridium and oxygen.
Los estilos APA, Harvard, Vancouver, ISO, etc.
27

D'Acunto, Giulio, Sanzeeda Baig Shuchi, Xueli Zheng, Yi Cui y Stacey F. Bent. "ALD with Enhanced Nucleation on Polymeric Separator for Improved Li-S Batteries". ECS Meeting Abstracts MA2024-01, n.º 1 (9 de agosto de 2024): 110. http://dx.doi.org/10.1149/ma2024-011110mtgabs.

Texto completo
Resumen
Lithium-sulfur (Li-S) batteries, known for their exceptional energy densities, are at the forefront of the next generation of energy storage systems. However, their practical application is hindered by the polysulfide shuttle effect, which significantly impairs discharge capacity and cycling stability. This research introduces a novel solution to these challenges through the use of atomic layer deposition (ALD) of Al₂O₃ on commercial polypropylene/polyethylene/polypropylene (PP/PE/PP) separators. ALD is a thin-film deposition technique that allows for precise control of layer thickness and composition at the atomic scale. ALD is characterized by its ability to produce extremely uniform and conformal layers, making it an ideal method for applications where surface chemistry plays a critical role, such as in battery separators. The technique is particularly advantageous in applications requiring high precision and control, like in our approach to enhancing Li-S batteries. In our study, the inert separator was treated with UV ozone to enhance the nucleation of ALD precursors, with the goal of reducing polysulfide shuttling. The use of ALD-modified separators in batteries demonstrated a marked increase in specific capacity, reaching approximately 1150 mAh/g, and reduced overpotential, indicative of improved kinetic efficiency. Further, we explored the structural and chemical modifications of the separator, employing X-ray photoelectron spectroscopy (XPS) and scanning electron microscopy (SEM). Our findings indicate that ALD of Al₂O₃ on the separators significantly improved the adsorption of polysulfides, thereby mitigating the shuttle effect. In addition to improved polysulfide interaction, we also examined the impact on polysulfide adsorption on the formation of the solid-electrolyte interphase (SEI). Our results showed that modified batteries exhibited no polysulfide presence on the anode, suggesting a stable and effective SEI. This observation is significant, as it indicates that our approach enhances specific capacity and cycling stability by controlling the formation of a more effective SEI. Overall, our research demonstrates how strategic modifications at the separator level, particularly through the application of ALD and UV ozone, can significantly enhance the overall performance of Li-S batteries. By leveraging the unique advantages of ALD, especially through Al₂O₃ deposition, we provide a viable pathway to enhance the efficiency and stability of Li-S batteries.
Los estilos APA, Harvard, Vancouver, ISO, etc.
28

Jin, Jidong, Jiawei Zhang, Andrew Shaw, Valeriya N. Kudina, Ivona Z. Mitrovic, Jacqueline S. Wrench, Paul R. Chalker, Claudio Balocco, Aimin Song y Steve Hall. "A high speed PE-ALD ZnO Schottky diode rectifier with low interface-state density". Journal of Physics D: Applied Physics 51, n.º 6 (19 de enero de 2018): 065102. http://dx.doi.org/10.1088/1361-6463/aaa4a2.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
29

Kim, Myoungsub, Youngjun Kim, Inkyu Sohn y Hyungjun Kim. "Growth and Electrical Characteristics of PE-ALD Germanium Sulfide for 3D Cross-Point Memory". ECS Meeting Abstracts MA2020-02, n.º 23 (23 de noviembre de 2020): 1686. http://dx.doi.org/10.1149/ma2020-02231686mtgabs.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
30

Xiao, Zhigang, Kim Kisslinger, Sam Chance y Samuel Banks. "Comparison of Hafnium Dioxide and Zirconium Dioxide Grown by Plasma-Enhanced Atomic Layer Deposition for the Application of Electronic Materials". Crystals 10, n.º 2 (23 de febrero de 2020): 136. http://dx.doi.org/10.3390/cryst10020136.

Texto completo
Resumen
We report the growth of nanoscale hafnium dioxide (HfO2) and zirconium dioxide (ZrO2) thin films using remote plasma-enhanced atomic layer deposition (PE-ALD), and the fabrication of complementary metal-oxide semiconductor (CMOS) integrated circuits using the HfO2 and ZrO2 thin films as the gate oxide. Tetrakis (dimethylamino) hafnium (Hf[N(CH3)2]4) and tetrakis (dimethylamino) zirconium (IV) (Zr[N(CH3)2]4) were used as the precursors, while O2 gas was used as the reactive gas. The PE-ALD-grown HfO2 and ZrO2 thin films were analyzed using X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD), and high-resolution transmission electron microscopy (HRTEM). The XPS measurements show that the ZrO2 film has the atomic concentrations of 34% Zr, 2% C, and 64% O while the HfO2 film has the atomic concentrations of 29% Hf, 11% C, and 60% O. The HRTEM and XRD measurements show both HfO2 and ZrO2 films have polycrystalline structures. n-channel and p-channel metal-oxide semiconductor field-effect transistors (nFETs and pFETs), CMOS inverters, and CMOS ring oscillators were fabricated to test the quality of the HfO2 and ZrO2 thin films as the gate oxide. Current-voltage (IV) curves, transfer characteristics, and oscillation waveforms were measured from the fabricated transistors, inverters, and oscillators, respectively. The experimental results measured from the HfO2 and ZrO2 thin films were compared.
Los estilos APA, Harvard, Vancouver, ISO, etc.
31

Yalcin, Emine B., Ming Tong, Camilla Homans y Suzanne M. de la Monte. "Myriocin Treatment Reverses Alcohol-Induced Alterations in Polyunsaturated Fatty Acid-Containing Phospholipid Expression in the Liver". Nutrition and Metabolic Insights 15 (enero de 2022): 117863882210820. http://dx.doi.org/10.1177/11786388221082012.

Texto completo
Resumen
Chronic heavy alcohol exposure causes steatohepatitis manifested by abnormal intra-hepatocyte accumulation of lipid and parenchymal inflammation. Attendant alterations in polyunsaturated fatty acid (PUFA)-containing phospholipids could cause alcoholic liver disease (ALD) to progress by promoting oxidative stress, inflammation, and fibrogenesis. Previously we showed that myriocin, a serine palmitoyltransferase inhibitor, ameliorates experimental alcohol-induced steatohepatitis. However, the surprising overall therapeutic responses suggested that myriocin’s targets may go beyond sphingolipids. To this end, the present study examines the effects of myriocin on hepatic composition of docosahexaenoic acid (DHA)- and arachidonic acid (AA)-containing phospholipids in an experimental model of ALD. A chronic+binge ethanol exposure model was generated by feeding Long Evans rats with ethanol-containing diets (24% caloric content) for 8 weeks and simultaneously binge gavage administering 2 g/kg ethanol on Tuesdays, Thursdays and Saturdays during Weeks 6-8. Myriocin was administered by i.p. injection on Mondays, Wednesdays, and Fridays of Weeks 3-8. Control rats were studied in parallel. Upon euthanasia, the livers were harvested to examine ethanol- and/or myriocin-modulation of hepatic lipids using matrix-assisted laser desorption/ionization imaging mass spectrometry (MALDI-IMS). Results were analyzed statistically by two-way analysis of variance and depicted with data bar plots and heatmaps. Chronic+binge ethanol exposures significantly increased hepatic expression of AA-containing phospholipids including PE(36:4) ( P = .005), PE(38:4) ( P = .03), and PI(38:4) ( P = .04) and reduced DHA-containing phospholipids including PS(40:6) ( P = .03) and PE(40:6) ( P = .04) relative to control. Myriocin partially reversed ethanol’s effects on hepatic PUFA expression by decreasing PE(36:4) ( P = .004) and increasing PS(40:6) ( P = .04) and PI(40:6) ( P = .0003) relative to ethanol-exposed rats. Ethanol-mediated alterations in hepatic PUFA-containing phospholipids may contribute to hepatic oxidative and inflammatory injury by increasing AA and fibrogenesis by inhibiting DHA. The results suggest that Myriocin may help reduce or prevent long-term and progressive liver injury stemming from excessive chronic+binge ethanol consumption.
Los estilos APA, Harvard, Vancouver, ISO, etc.
32

Mitschker, F., S. Steves, M. Gebhard, M. Rudolph, L. Schücke, D. Kirchheim, M. Jaritz et al. "Influence of PE-CVD and PE-ALD on defect formation in permeation barrier films on PET and correlation to atomic oxygen fluence". Journal of Physics D: Applied Physics 50, n.º 23 (18 de mayo de 2017): 235201. http://dx.doi.org/10.1088/1361-6463/aa6e28.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
33

Hur, Jae Seok, Min Jae Kim, Seong Hun Yoon y Jae Kyeong Jeong. "P‐154: Late‐News Poster: High‐Performance Indium‐Gallium Oxide Thin‐Film‐Transistors via Plasma‐Enhanced Atomic‐Layer‐Deposition". SID Symposium Digest of Technical Papers 54, n.º 1 (junio de 2023): 1826–28. http://dx.doi.org/10.1002/sdtp.16962.

Texto completo
Resumen
We report the fabrication of high‐performance indium‐gallium oxide (IGO) thin‐film transistors (TFTs) via plasma‐enhanced atomic‐layer‐deposition (PE‐ALD) process with cation composition ratio variation. With accurate control of the composition ratio, IGO(12:3) TFTs showed stable characteristics along with high field‐effect mobility (μFET) of 70.69 cm 2 /Vs. Furthermore by increasing the gallium ratio, IGO(6:3) TFTs showed extremely stable characteristics with threshold voltage (VTH) variations lower than 0.1 V in both positive bias stress (PBS) and negative bias stress (NBS) conditions.
Los estilos APA, Harvard, Vancouver, ISO, etc.
34

Hoppe, Christian, Felix Mitschker, Lukas Mai, Maciej Oskar Liedke, Teresa Arcos, Peter Awakowicz, Anjana Devi et al. "Influence of surface activation on the microporosity of PE‐CVD and PE‐ALD SiO x thin films on PDMS". Plasma Processes and Polymers 19, n.º 4 (22 de enero de 2022): 2100174. http://dx.doi.org/10.1002/ppap.202100174.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
35

Liu, Ji y Michael Nolan. "Modeling the Atomic Layer Deposition of Metal Thin Films: Studying the Surface Reaction Mechanism By Density Functional Theory Simulations". ECS Meeting Abstracts MA2023-02, n.º 29 (22 de diciembre de 2023): 1499. http://dx.doi.org/10.1149/ma2023-02291499mtgabs.

Texto completo
Resumen
Atomic layer deposition (ALD) is widely used in microelectronics and semiconductor industry to deposit thin films as part of device fabrication in nano- or subnano-dimensions. The key advantages of ALD are the conformality and precise thickness control at the atomic scale, which are difficult for physical or traditional chemical vapor deposition methods. The atomic scale understanding of ALD is vital and essential to design and optimize the deposition process, where density functional theory (DFT) calculations play an important role in providing detailed reaction mechanism, theoretical screening of suitable precursors and estimated growth-per-cycle (GPC). In this study, we show our recent works on DFT calculations of the growth mechanism study of Cobalt (Co) thin film by plasma-enhanced ALD1,2. We first addressed the surface reaction mechanism at the metal precursor pulse and plasma half-cycle on NHx-terminated Co surfaces, which corresponds to the steady growth for the PE-ALD. The adsorption and reactions of metal precursors (CoCp2) on NHx terminated metal surfaces were investigated with the inclusion of van der Waals corrections. The plausible reaction pathways include: precursor adsorption, hydrogen transfer, CpH formation and CpH desorption. The direct Cp dissociation mechanism is not considered on these NHx-terminated metal surfaces due to experimentally observed minimal C impurities at the deposited metal thin films, which indicates that most of the Cp ligand is removed completely. The barrier for proton transfer was calculated using climbing image nudged elastic band (CI-NEB) method. The reactions at the initial stages on typical H:Si(100) surface are investigated to gain atomic insight on the effect of different substrates on the elimination of Cp ligands. Our work is important to reveal the mechanism and feasibility of atomic layer deposition of metals using N-plasma. In addition, we present our recent works3, 4 on the thermal ALD of Co using reducing agent Zn(DMP)2 and thermal ALD of Fe4Zn9 thin film with diethyl zinc (DEZ). Our DFT results show that by selecting suitable reducing agent, we can control the deposited thin films to be Zn-free high quality Co thin film or desired intermetallic thin film with high Zn content. These DFT results are important to understand the reaction mechanism for ALD and provides new knowledge in depositing the thin films by adjusting the reducing agents. References [1] Liu, Ji, et al., J. Phys. Chem. C 2019, 123, 4, 25166-25175. [2] Liu, Ji, et al., J. Phys. Chem. C 2020, 124, 22, 11990–12000 [3] Zanders, David, et al, Chem. Mater. 2021, 33, 13, 5045–5057 [4] Ghiyasi, Ramin, et al. Chem. Mater. 2022, 34, 11, 5241-5248.
Los estilos APA, Harvard, Vancouver, ISO, etc.
36

Djara, Vladimir, Lukas Czornomaz, Veeresh Deshpande, Nicolas Daix, Emanuele Uccelli, Daniele Caimi, Marilyne Sousa y Jean Fompeyrine. "Tri-gate InGaAs-OI junctionless FETs with PE-ALD Al2O3 gate dielectric and H2/Ar anneal". Solid-State Electronics 115 (enero de 2016): 103–8. http://dx.doi.org/10.1016/j.sse.2015.08.018.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
37

Barreca, Davide, Giorgio Carraro, Michael E. A. Warwick, Kimmo Kaunisto, Alberto Gasparotto, Valentina Gombac, Cinzia Sada et al. "Fe2O3–TiO2nanosystems by a hybrid PE-CVD/ALD approach: controllable synthesis, growth mechanism, and photocatalytic properties". CrystEngComm 17, n.º 32 (2015): 6219–26. http://dx.doi.org/10.1039/c5ce00883b.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
38

Gudovskikh, A. S., A. I. Baranov, A. V. Uvarov, D. A. Kudryashov y J.-P. Kleider. "Space charge capacitance study of GaP/Si multilayer structures grown by plasma deposition". Journal of Physics D: Applied Physics 55, n.º 13 (30 de diciembre de 2021): 135103. http://dx.doi.org/10.1088/1361-6463/ac41fa.

Texto completo
Resumen
Abstract Microcrystalline gallium phosphide (GaP)/Si multilayer structures grown on GaP substrates using combination of plasma enhanced atomic layer deposition (PE-ALD) for GaP and plasma-enhanced chemical vapor deposition for Si layers deposition are studied by three main space charge capacitance techniques: capacitance versus voltage (C-V) profiling, admittance spectroscopy (AS) and deep level transient spectroscopy (DLTS), which have been used on Schottky barriers formed on the GaP/Si multilayer structures. C-V profiling qualitatively demonstrates an electron accumulation in the Si/GaP wells. However, quantitative determination of the concentration and spatial position of its maximum is limited by the strong frequency dependence of the capacitance caused by electron capture/emission processes in/from the Si/GaP wells. These processes lead to signatures in AS and DLTS with activation energies equal to 0.39 ± 0.05 and 0.28 ± 0.05 eV, respectively, that are linked to the energy barrier at the GaP/Si interface. It is shown that the value obtained by AS (0.39 ± 0.05 eV) is related to the response from Si/GaP wells located in the quasi-neutral region of the Schottky barrier, and it corresponds to the conduction band offset at the GaP/Si interface, while DLTS rather probes wells located in the space charge region closer to the Schottky interface where the internal electric field yields to a lowering of the effective barrier in the Si/GaP wells. Two additional signatures were detected by DLTS, which are identified as defect levels in GaP. The first one is associated to the SiGa + VP complex, while the second was already detected in single microcrystalline GaP layers grown by PE-ALD.
Los estilos APA, Harvard, Vancouver, ISO, etc.
39

Jeong, Heon Jun, Hyun Soo Park, Keun Hee Kim, Wanhyuk Chang, Yoon Seong Kim, Yun Sung Choi y Joon Hyung Shim. "Performance Improvement of Proton Ceramic Fuel Cells through Surface Treatment of Cobalt Oxide Nanoparticles on Perovskite Oxide". ECS Transactions 111, n.º 6 (19 de mayo de 2023): 2155–60. http://dx.doi.org/10.1149/11106.2155ecst.

Texto completo
Resumen
This study reports on the performance improvement of a protonic ceramic fuel cell (PCFC) after a CoOx nanoparticle treatment has been applied to a PrBa0.5Sr0.5Co2-xFexO5+δ(PBSCF) cathode with a perovskite structure. CoOx nanoparticles are deposited on the sintered PBSCF surface using a plasma-enhanced (PE) atomic layer deposition (ALD) process, thereby avoiding any unwanted reactions or phase changes. The CoOx nanoparticles are successfully deposited uniformly onto the entire surface of the porous and complex cathode structure. A constant deposition rate is observed because of the self-limiting characteristics of the ALD process by a thickness difference as a function of a change in the cycle count. In our experiment, the performance of the fuel cells increases by approximately 36 % compared with the untreated cells at an operating temperature of 650 °C. In addition, all cells feature long-term stability. Impedance analysis reveals that the CoOx nanoparticle treatment results in a significant polarization and some ohmic loss improvement within all temperature regions. This is due to the synergistic effect with PBSCF and self-catalytic effects. The results imply that the proposed method enables high-performance PCFC fabrication; additionally it helps lowering the operating temperature.
Los estilos APA, Harvard, Vancouver, ISO, etc.
40

Baranov, A. I., A. V. Uvarov, D. A. Kudryashov, I. A. Morozov y A. S. Gudovskikh. "Influence of plasma on electrophysical properties of the GaP/n-Si isotype heterojunction grown by PE-ALD". Journal of Physics: Conference Series 1482 (marzo de 2020): 012017. http://dx.doi.org/10.1088/1742-6596/1482/1/012017.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
41

Castillo-Saenz, Jhonathan, Nicola Nedev, Benjamín Valdez-Salas, Mario Curiel-Alvarez, María Isabel Mendivil-Palma, Norberto Hernandez-Como, Marcelo Martinez-Puente, David Mateos, Oscar Perez-Landeros y Eduardo Martinez-Guerra. "Properties of Al2O3 Thin Films Grown by PE-ALD at Low Temperature Using H2O and O2 Plasma Oxidants". Coatings 11, n.º 10 (19 de octubre de 2021): 1266. http://dx.doi.org/10.3390/coatings11101266.

Texto completo
Resumen
Al2O3 layers with thicknesses in the 25–120 nm range were deposited by plasma enhanced atomic layer deposition at 70 °C. Trimethylaluminum was used as organometallic precursor, O2 and H2O as oxidant agents and Ar as a purge gas. The deposition cycle consisted of 50 ms TMA pulse/10 s purge time/6 s of plasma oxidation at 200 W/10 s purge time. The optical constants and thicknesses of the grown layers were determined by spectroscopic ellipsometry, while the roughness was measured by atomic force microscopy, giving RMS values in the 0.29–0.32 nm range for films deposited under different conditions and having different thicknesses. High transmittance, ~90%, was measured by UV–Vis spectroscopy. X-ray photoelectron spectroscopy revealed that, with both types of oxidants, the obtained films are close to stoichiometric composition and, with high purity, no carbon was detected. Electrical characterization showed good insulating properties of both types of films, though the H2O oxidant leads to better I-V characteristics.
Los estilos APA, Harvard, Vancouver, ISO, etc.
42

Choi, Gwangpyo, L. Satyanarayana y Jinseong Park. "Effect of process parameters on surface morphology and characterization of PE-ALD SnO2 thin films for gas sensing". Applied Surface Science 252, n.º 22 (septiembre de 2006): 7878–83. http://dx.doi.org/10.1016/j.apsusc.2005.09.069.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
43

Shen, Jie, Fred Roozeboom y Alfredo Mameli. "Atmospheric-pressure plasma-enhanced spatial atomic layer deposition of silicon nitride at low temperature". Atomic Layer Deposition 1 (27 de marzo de 2023): 1–11. http://dx.doi.org/10.3897/aldj.1.101651.

Texto completo
Resumen
Atmospheric-pressure plasma-enhanced spatial atomic layer deposition (PE-spatial-ALD) of SiNx is demonstrated for the first time. Using bis(diethylamino)silane (BDEAS) and N2 plasma from a dielectric barrier discharge source, a process was developed at low deposition temperatures (≤ 250 °C). The effect of N2 plasma exposure time and overall cycle time on layer composition was investigated. In particular, the oxygen content was found to decrease with decreasing both above-mentioned parameters. As measured by depth profile X-ray photoelectron spectroscopy, 4.7 at.% was the lowest oxygen content obtained, whilst 13.7 at.% carbon was still present at a deposition temperature of 200 °C. At the same time, deposition rates up to 1.5 nm/min were obtained, approaching those of plasma enhanced chemical vapor deposition and thus opening new opportunities for high-throughput atomic-level processing of nitride materials.
Los estilos APA, Harvard, Vancouver, ISO, etc.
44

Song, Hohyun, Sanghun Seo y Hongyoung Chang. "Study on SiN and SiCN film production using PE-ALD process with high-density multi-ICP source at low temperature". Current Applied Physics 18, n.º 11 (noviembre de 2018): 1436–40. http://dx.doi.org/10.1016/j.cap.2018.08.012.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
45

Starostin, Sergey A., Wytze Keuning, Jean-Paul Schalken, Mariadriana Creatore, Wilhelmus M. M. Erwin Kessels, Jan B. Bouwstra, Mauritius C. M. Richard van de Sanden y Hindrik W. de Vries. "Synergy Between Plasma-Assisted ALD and Roll-to-Roll Atmospheric Pressure PE-CVD Processing of Moisture Barrier Films on Polymers". Plasma Processes and Polymers 13, n.º 3 (31 de agosto de 2015): 311–15. http://dx.doi.org/10.1002/ppap.201500096.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
46

Hansen, Katherine, Melissa Cardona, Amartya Dutta y Chen Yang. "Plasma Enhanced Atomic Layer Deposition of Plasmonic TiN Ultrathin Films Using TDMATi and NH3". Materials 13, n.º 5 (27 de febrero de 2020): 1058. http://dx.doi.org/10.3390/ma13051058.

Texto completo
Resumen
Transition metal nitrides, like titanium nitride (TiN), are promising alternative plasmonic materials. Here we demonstrate a low temperature plasma-enhanced atomic layer deposition (PE-ALD) of non-stoichiometric TiN0.71 on lattice-matched and -mismatched substrates. The TiN was found to be optically metallic for both thick (42 nm) and thin (11 nm) films on MgO and Si <100> substrates, with visible light plasmon resonances in the range of 550–650 nm. We also demonstrate that a hydrogen plasma post-deposition treatment improves the metallic quality of the ultrathin films on both substrates, increasing the ε1 slope by 1.3 times on MgO and by 2 times on Si (100), to be similar to that of thicker, more metallic films. In addition, this post-deposition was found to tune the plasmonic properties of the films, resulting in a blue-shift in the plasmon resonance of 44 nm on a silicon substrate and 59 nm on MgO.
Los estilos APA, Harvard, Vancouver, ISO, etc.
47

Wu, Chien-Hung, Kow-Ming Chang, Sung-Hung Huang, I.-Chung Deng, Chin-Jyi Wu, Wei-Han Chiang y Chia-Chiang Chang. "Characteristics of IGZO TFT Prepared by Atmospheric Pressure Plasma Jet Using PE-ALD $\hbox{Al}_{2}\hbox{O}_{3}$ Gate Dielectric". IEEE Electron Device Letters 33, n.º 4 (abril de 2012): 552–54. http://dx.doi.org/10.1109/led.2012.2185774.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
48

Lee, Baek-Ju, Dong-Won Seo y Jae-Wook Choi. "A Study on the Gap-Fill Process Deposited by the Deposition/Etch/Deposition Method in the Space-Divided PE-ALD System". Coatings 13, n.º 1 (27 de diciembre de 2022): 48. http://dx.doi.org/10.3390/coatings13010048.

Texto completo
Resumen
This study concerns the development of a gap-fill process technology for isolating trench patterns. There are various gap-filling techniques in the case of trench patterns; nevertheless, a processing technology adopting the DED (deposition/etch/deposition) method was developed in this study. After the etch step, an Ar/O2 (1:2) plasma treatment technology reduced the residual amount of F in the films to 0.05%. By improving the etch uniformity, the deposition uniformity after the DED process on a 12-inch flat wafer was secured within <1%, and a high-quality SiO2 thin film with a dielectric constant of 3.97 and a breakdown field of 11.41 MV/cm was fabricated. The DED method can be used for gap-filling even in patterns with a high aspect ratio by changing process parameters, such as RF power and division of etch steps, according to the shape, depth, and CD size of the pattern. This study confirmed that a void-free gap-fill process can be developed in a trench pattern with a maximum aspect ratio of 40:1.
Los estilos APA, Harvard, Vancouver, ISO, etc.
49

Kull, Mikk, Helle-Mai Piirsoo, Aivar Tarre, Hugo Mändar, Aile Tamm y Taivo Jõgiaas. "Hardness, Modulus, and Refractive Index of Plasma-Assisted Atomic-Layer-Deposited Hafnium Oxide Thin Films Doped with Aluminum Oxide". Nanomaterials 13, n.º 10 (10 de mayo de 2023): 1607. http://dx.doi.org/10.3390/nano13101607.

Texto completo
Resumen
Coatings with tunable refractive index and high mechanical resilience are useful in optical systems. In this work, thin films of HfO2 doped with Al2O3 were deposited on silicon at 300 °C by using plasma-enhanced atomic layer deposition (PE-ALD). The mainly amorphous 60–80 nm thick films consisted Al in the range of 2 to 26 at. %. The refractive indexes varied from 1.69 to 2.08 at the wavelength of 632 nm, and they consistently depended on the composition. The differences were higher in the UV spectral region. At the same time, the hardness of the films was from 12–15 GPa; the modulus was in the range of 160–180 GPa; and the mechanical properties did not have a good correlation with the deposited compositions. The deposition conditions, element contents, and refractive indexes at respective wavelengths were correlated. The results indicated that it is possible to tune optical properties and retain mechanical properties of atomic layer-deposited thin films of HfO2 with Al2O3 as doping oxide. Such films could be used as mechanically resilient and optically tunable coatings in, for instance, micro- or nano-electromechanical systems or transparent displays.
Los estilos APA, Harvard, Vancouver, ISO, etc.
50

Papalia, John, Nathan Marchack, Robert Bruce, Hiroyuki Miyazoe, Sebastian Engelmann y Eric A. Joseph. "Applications for Surface Engineering Using Atomic Layer Etching - Invited Paper". Solid State Phenomena 255 (septiembre de 2016): 41–48. http://dx.doi.org/10.4028/www.scientific.net/ssp.255.41.

Texto completo
Resumen
Over the course of the past few years, the semiconductor industry has continued to invent and innovate profoundly to adhere to Moore’s Law and Dennard scaling. At each of the technology nodes starting with 45nm, new materials and integration techniques, such as high-K & metal gates, double patterning techniques, and now 3D FinFet / Trigate device geometries are being introduced in order to maintain device performance. This places a large burden on unit process development to accommodate and deliver advanced process capability and is growing the need for the ultimate etch solution: etching with atomic layer precision. Atomic layer etching is a promising path to answer the processing demands of thin high mobility channel devices on the angstrom scale. Self-limiting reactions, discrete reaction & activation steps, or extremely low ion energy etch plasmas are some of the pathways being pursued for precise sub-nanometer material removal. In this invited paper, previously published in SPIE, the ability to achieve atomic layer etch precision is reviewed in detail for a variety of material sets and implementation methods. For a cyclic approach most similar to a reverse ALD scheme, the process window to achieve a truly self-limited atomic layer etch process is identified and the limitations as a function of controlling the adsorption step, the irradiation energy, and the reaction process are examined. Alternative approaches, including processes to enable pseudo-ALE precision, are then introduced and results from their application investigated. While these new plasma-enhanced atomic layer etch (PE-ALE) processes show encouraging results, most patterning applications are best realized by optimizations through discharge chemistry and/or plasma parameters. Significant improvements however were obtained when applying PE-ALE approaches to small pitch patterns. In particular the increased selectivity to OPL seems to offer a potential benefit for patterning high aspect ratio features.
Los estilos APA, Harvard, Vancouver, ISO, etc.
Ofrecemos descuentos en todos los planes premium para autores cuyas obras están incluidas en selecciones literarias temáticas. ¡Contáctenos para obtener un código promocional único!

Pasar a la bibliografía