Libros sobre el tema "Low power high-speed links"

Siga este enlace para ver otros tipos de publicaciones sobre el tema: Low power high-speed links.

Crea una cita precisa en los estilos APA, MLA, Chicago, Harvard y otros

Elija tipo de fuente:

Consulte los 39 mejores mejores libros para su investigación sobre el tema "Low power high-speed links".

Junto a cada fuente en la lista de referencias hay un botón "Agregar a la bibliografía". Pulsa este botón, y generaremos automáticamente la referencia bibliográfica para la obra elegida en el estilo de cita que necesites: APA, MLA, Harvard, Vancouver, Chicago, etc.

También puede descargar el texto completo de la publicación académica en formato pdf y leer en línea su resumen siempre que esté disponible en los metadatos.

Explore libros sobre una amplia variedad de disciplinas y organice su bibliografía correctamente.

1

Cao, Zhiheng y Shouli Yan. Low-Power High-Speed ADCs for Nanometer CMOS Integration. Dordrecht: Springer Netherlands, 2008. http://dx.doi.org/10.1007/978-1-4020-8450-8.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
2

Cao, Zhiheng. Low-Power High-Speed ADCs for Nanometer CMOS Integration. Dordrecht: Springer Science + Business Media B.V, 2008.

Buscar texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
3

Li, Weitao, Fule Li y Zhihua Wang. High-Resolution and High-Speed Integrated CMOS AD Converters for Low-Power Applications. Cham: Springer International Publishing, 2018. http://dx.doi.org/10.1007/978-3-319-62012-1.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
4

Margarit, Josep Maria. Low-Power CMOS Digital Pixel Imagers for High-Speed Uncooled PbSe IR Applications. Cham: Springer International Publishing, 2017. http://dx.doi.org/10.1007/978-3-319-49962-8.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
5

Armin, Kemna y Hosticka Bedrich J, eds. Modular low-power, high-speed CMOS analog-to-digital converter of embedded systems. Boston: Kluwer Academic Publishers, 2003.

Buscar texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
6

Shehata, Khaled Ali. Low-power high-speed dynamic logic families for complementary gallium arsenide (CGaAs) fabrication processes. Monterey, Calif: Naval Postgraduate School, 1996.

Buscar texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
7

Roermund, Arthur H. M. van., Casier Herman y Steyaert Michiel 1959-, eds. Analog circuit design: High-speed A-D converters, automotive electronics, and ultra-low power wireless. Dordrecht, Netherlands: Springer, 2006.

Buscar texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
8

United States. Congress. Senate. Committee on Commerce, Science, and Transportation. Subcommittee on Communications. S. 2454, wireless high speed internet access for rural areas: Hearing before the Subcommittee on Communications of the Committee on Commerce, Science, and Technology, United States Senate, One Hundred Sixth Congress, second session, June 14, 2000. Washington: U.S. G.P.O., 2003.

Buscar texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
9

Raabe, Oliver. Der öffentlich-rechtliche Primärrechtschutz gegen Höchstspannungsfreileitungen am Beispiel der Rechtslage in Schleswig-Holstein, Baden-Württemberg und Bayern. Kiel: [s.n.], 2000.

Buscar texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
10

Virginia. Dept. of Transportation. Report of the Virginia Department of Transportation on a study of the Overhead High Voltage Line Safety Act to the Governor and the General Assembly of Virginia. Richmond: Commonwealth of Virginia, 1995.

Buscar texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
11

Akashe, Shyam y Khusbou Mishra. Low Power High Speed CMOS Multiplexer Design. Nova Science Publishers, Incorporated, 2015.

Buscar texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
12

Tyshchenko, Oleksiy. Circuits for low-power high-speed content-addressable memories. 2006.

Buscar texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
13

Cao, Zhiheng y Shouli Yan. Low-Power High-Speed ADCs for Nanometer CMOS Integration. Cao Zhiheng Yan Shouli, 2010.

Buscar texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
14

Tyshchenko, Oleksiy. Circuits for low-power high-speed content-addressable memories. 2006, 2006.

Buscar texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
15

Wang, Zhihua, Weitao Li y Fule Li. High-Resolution and High-Speed Integrated CMOS AD Converters for Low-Power Applications. Springer, 2017.

Buscar texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
16

Wang, Zhihua, Weitao Li y Fule Li. High-Resolution and High-Speed Integrated CMOS AD Converters for Low-Power Applications. Springer, 2018.

Buscar texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
17

Su, Wenjun. Design of high-speed low-power analog CMOS decision feedback equalizers. 1996.

Buscar texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
18

Su, Wenjun. Design of high-speed low-power analog CMOS decision feedback equalizers. 1996.

Buscar texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
19

Staff, IEEE. 2022 IEEE Symposium in Low Power and High Speed Chips (COOL CHIPS). IEEE, 2022.

Buscar texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
20

Modular Low-Power, High-Speed CMOS Analog-to-Digital Converter for Embedded Systems. Boston: Kluwer Academic Publishers, 2004. http://dx.doi.org/10.1007/b105351.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
21

Lin, Keh-La. "Modular Low-Power, High-Speed Cmos Analog-to-Digital Converter of Embedded Systems". Springer, 2010.

Buscar texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
22

Margarit, Josep Maria. Low-Power CMOS Digital Pixel Imagers for High-Speed Uncooled PbSe IR Applications. Springer, 2018.

Buscar texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
23

Lin, Keh-La Keh-La, Armin Kemna y Bedrich J. Hosticka. Modular Low-Power, High-Speed CMOS Analog-To-Digital Converter of Embedded Systems. Springer London, Limited, 2006.

Buscar texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
24

Margarit, Josep Maria. Low-Power CMOS Digital-Pixel Imagers for High-Speed Uncooled Pbse IR Applications. Springer, 2017.

Buscar texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
25

Iniewski, Krzysztof y Jung Han Choi. High-Speed and Lower Power Technologies: Electronics and Photonics. Taylor & Francis Group, 2018.

Buscar texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
26

Iniewski, Krzysztof y Jung Han Choi. High-Speed and Lower Power Technologies: Electronics and Photonics. Taylor & Francis Group, 2018.

Buscar texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
27

Iniewski, Krzysztof y Jung Han Choi. High-Speed and Lower Power Technologies: Electronics and Photonics. Taylor & Francis Group, 2018.

Buscar texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
28

Iniewski, Krzysztof y Jung Han Choi. High-Speed and Lower Power Technologies: Electronics and Photonics. Taylor & Francis Group, 2018.

Buscar texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
29

Low-Power High-Speed Dynamic Logic Families for Complementary Gallium Arsenide (CGaAs) Fabrication Processes. Storming Media, 1996.

Buscar texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
30

Analog Circuit Design: High-Speed A-D Converters, Automotive Electronics and Ultra-Low Power Wireless. Springer, 2006.

Buscar texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
31

Arthur H.M. van Roermund, Michiel Steyaert y Herman Casier. Analog Circuit Design: High-Speed A-D Converters, Automotive Electronics and Ultra-Low Power Wireless. Springer, 2010.

Buscar texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
32

Steyaert, Michiel, Arthur H. M. van Roermund y Herman Casier. Analog Circuit Design: High-Speed a-D Converters, Automotive Electronics and Ultra-Low Power Wireless. Springer London, Limited, 2006.

Buscar texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
33

Analog circuit design: High-speed A-D converters, automotive electronics and ultra-low power wireless. Dordrecht: Springer, 2005.

Buscar texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
34

US GOVERNMENT. S. 2454, Wireless High Speed Internet Access for Rural Areas: Hearing Before the Subcommittee on Communications of the Committee on Commerce, Science,. Government Printing Office, 2003.

Buscar texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
35

Lin, Keh-La, Armin Kemna y Bedrich J. Hosticka. Modular Low-Power, High-Speed CMOS Analog-To-Digital Converter for Embedded Systems (Kluwer International Series in Engineering and Computer Science,) ... Series in Engineering and Computer Science). Springer, 2003.

Buscar texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
36

Ewing, Keith, Joan Mahoney y Andrew Moretta. MI5, the Cold War, and the Rule of Law. Oxford University Press, 2020. http://dx.doi.org/10.1093/oso/9780198818625.001.0001.

Texto completo
Resumen
This title is concerned with the powers, activities, and accountability of MI5 principally in the period from 1945 to 1964. It was a body without statutory authority, with no statutory powers, and with no obvious forms of statutory accountability. It was established as a counter-espionage agency, yet was beset by espionage scandals on a frequency that suggested if not high levels of incompetence, then high levels of distraction and the squandering of resources. The book addresses the evolution of MI5’s mandate which set out its role and functions and to a limited extent the lines of accountability, the surveillance targets of MI5, and the surveillance methods that it used for this purpose, with a focus in two chapters on MPs and lawyers, respectively; the purposes for which this information was used, principally to exclude people from certain forms of employment; and the accountability of MI5 or the lack thereof for the way in which it discharged its responsibilities under the mandate.
Los estilos APA, Harvard, Vancouver, ISO, etc.
37

Freedman, Linda. William Blake and the Myth of America. Oxford University Press, 2018. http://dx.doi.org/10.1093/oso/9780198813279.001.0001.

Texto completo
Resumen
This book tells the story of William Blake’s literary reception in America and suggests that ideas about Blake’s poetry and personality helped shape mythopoeic visions of America from the abolitionists to the counterculture. It links high and low culture and covers poetry, music, theology, and the novel. American writers have turned to Blake in times of cataclysmic change, terror, and hope to rediscover the symbolic meaning of their country. Blake entered American society when slavery was rife and civil war threatened the fragile experiment of democracy. He found his moment in the mid-twentieth-century counterculture as left-wing Americans took refuge in the arts at a time of increasingly reactionary conservatism, vicious racism, pervasive sexism, dangerous nuclear competition, and an increasingly unpopular war in Vietnam, the fires of Orc raging against the systems of Urizen. Blake’s America, as a symbol of cyclical hope and despair, influenced many Americans who saw themselves as continuing the task of prophecy and vision. Blakean forms of bardic song, aphorism, prophecy, and lament became particularly relevant to a literary tradition which centralized the relationship between aspiration and experience. His interrogations of power and privilege, freedom and form resonated with Americans who repeatedly wrestled with the deep ironies of new world symbolism and sought to renew a Whitmanesque ideal of democracy through affection and openness towards alterity.
Los estilos APA, Harvard, Vancouver, ISO, etc.
38

Ticona, Julia. Left to Our Own Devices. Oxford University Press, 2022. http://dx.doi.org/10.1093/oso/9780190691288.001.0001.

Texto completo
Resumen
Over the past three decades, digital technologies like smartphones and laptops have transformed the way we work in the United States. Over the same period of time, workers at the top and the bottom of the income ladder have experienced rising levels of job insecurity and anxiety about their economic futures. Despite this connection, we rarely link our everyday technology problems to our economic climate. Left to Our Own Devices explores the ways that workers use their digital technologies to navigate insecure and flexible labor markets. Through one hundred interviews with high- and low-wage precarious workers across the United States, the book explores the surprisingly similar “digital hustles” they use to find work and maintain a sense of dignity and identity. However, although they shared similar practices, the digital hustle ultimately reproduces inequalities between workers at either end of polarized labor markets. The terms on which workers are included into the digital economy are marked by stark differences in power and privilege. Instead of a cognitive or individualistic approach to our “addictions” to technology, this book explains that our technologies must be understood as essential tools to cope with insecurity and manage the new risks that have emerged in the wake of the Great Recession and the crumbling social contract between employers and employees. In an economic climate characterized by unraveling social safety nets, workers use their devices to weave their own.
Los estilos APA, Harvard, Vancouver, ISO, etc.
39

International Conference on Gears 2017. VDI Verlag, 2017. http://dx.doi.org/10.51202/9783181022948.

Texto completo
Resumen
Talking about the design of modern high-performance power train applications, one of the essential components to focus on are the gears. Gears convert torque and speed in a very wide power range, at low cost and with minimal losses and noise emission. However, the demands regarding cost, power density, NVH-behavior and efficiency are steadily increasing. Demands, which can only be met using modern gearing technologies that allow combining individual materials, heat treatment and manufacturing processes. Particularly in the industrial sector, the requirements for the reliability and service life of the gear units have increased. Therefore, more and more accurate calculation methods are required for the load bearing capacity, life expectancy and failure probability as well as better test methods. This aspect is also becoming more important with regard to Industry 4.0 and Predictive Maintenance. In addition, the potentials of innovative production methods like powder metal sintering, plas...
Los estilos APA, Harvard, Vancouver, ISO, etc.
Ofrecemos descuentos en todos los planes premium para autores cuyas obras están incluidas en selecciones literarias temáticas. ¡Contáctenos para obtener un código promocional único!

Pasar a la bibliografía