Tesis sobre el tema "Lithography"

Siga este enlace para ver otros tipos de publicaciones sobre el tema: Lithography.

Crea una cita precisa en los estilos APA, MLA, Chicago, Harvard y otros

Elija tipo de fuente:

Consulte los 50 mejores tesis para su investigación sobre el tema "Lithography".

Junto a cada fuente en la lista de referencias hay un botón "Agregar a la bibliografía". Pulsa este botón, y generaremos automáticamente la referencia bibliográfica para la obra elegida en el estilo de cita que necesites: APA, MLA, Harvard, Vancouver, Chicago, etc.

También puede descargar el texto completo de la publicación académica en formato pdf y leer en línea su resumen siempre que esté disponible en los metadatos.

Explore tesis sobre una amplia variedad de disciplinas y organice su bibliografía correctamente.

1

Benoit-Renault, Viviane. "La lithographie en Bretagne (1819-1914)". Thesis, Paris 4, 2014. http://www.theses.fr/2014PA040217.

Texto completo
Resumen
Dans l’histoire de l’estampe, l’étude de la lithographie en province a longtemps été négligée et les premierstravaux fondateurs datent seulement d’une quarantaine d’années. L’objet de cette thèse en histoire de l’art est decombler cette lacune en analysant, dans un esprit d’interdisciplinarité ouvert à l’histoire économique et sociale, lalithographie en Bretagne historique de 1819 à 1914.Cette recherche s’appuie d’abord sur l’étude de l’imprimerie lithographique. Après un panorama généralsur l’évolution du nombre d’ateliers et leur répartition géographique, les centres lithographiques principaux etsecondaires sont étudiés. L’imprimerie autographique qui, en parallèle, s’implante et concurrence les atelierslithographiques est analysée, comme les imprimeries lithographiques sur fer-blanc, une particularité bretonne.Au-delà de cette étude historique, le chapitre suivant dresse le portrait des acteurs de l’imprimerie et de laproduction lithographique comme leur environnement social. C’est un monde où se mêlent l’artiste peintre,l’artiste lithographe de profession, l’amateur de dessin, l’imprimeur et l’ouvrier. La réalité du monde de l’estampeest abordée dans le troisième chapitre, avec un coup de projecteur sur l’atelier et sur l’histoire matérielle de lalithographie (presses et matrices). L’atelier est ensuite appréhendé comme un espace de vie autonome avec sesfêtes et ses codes, garants de la cohésion ouvrière. Une unité renforcée avec la création des syndicats delithographes dans la seconde moitié du XIXe siècle.Grâce à l’établissement d’un inventaire des lithographies, fondé sur le dépouillement du dépôt légal etdes fonds publics d’estampes, l’analyse de la production lithographique imprimée en Bretagne révèle unediversité thématique insoupçonnée. La lithographie artistique à la feuille, en recueils ou en albums illustrés estanalysée sous l’angle stylistique et iconographique. Enfin, l’étude de la lithographie utilitaire et des boîtes en ferblanclithographié clôt ce chapitre. Le commerce de l’estampe, qui constitue le dernier maillon du circuit de laproduction, est retracé avec ses marchands, une profession en mutation au XIXe siècle, ses colporteurs et autresvendeurs occasionnels. Enfin, la question de la diffusion de l’estampe en Bretagne est abordée comme le marchéet la place de la lithographie bretonne dans un réseau national et international
In the history of print, the study of lithography in province has long been neglected. The first founding worksonly date back to the last forty years. The purpose of this thesis on the history of art is to address this shortcomingby analysing lithography in historical Brittany between 1819 and 1914 bearing an interdisciplinarity mind open toeconomic and social history.Initially this research will be based on the study of lithographic printing. Following a general overviewon the evolution of the number of workshops and their geographical breakdown, leading and secondarylithographic centres are being considered. On the other hand, autographic printing which established itself andcame into competition with the lithographic workshops is being analysed with an emphasis on tin-plate printingworkshops being a characteristic feature of Brittany. Beyond this historical study, the following chapter paints aportrait of the printers and that of the lithographic production scene which being a social environment. It is aworld whereby the painter mingles with the professional lithographer, the drawing lover, the printer and thecraftsman. The reality of the printing world is being addressed in the third chapter with a particular focus on theworkshop and the history of the equipment specific to printing (plates and moulds). Subsequently the workshop isseen as a world in its own right with its celebrations and codes responsible for the working cohesion. This unitywill be accentuated in the second half of the XIX century with the constitution of lithographers unions. Thanksto the creation of a lithographs inventory drawn upon the austerity regarding registration of copyright and printpublic funds, the analysis of the print production in Brittany reveals an unexpected thematic diversity. The artisticprint on sheet, collections or illustrated albums is analysed from a stylistic and iconographic point of view.Finally, the study of useful lithography and the tin-box will bring this chapter to a close.Print trade which formsthe last link in the production chain is recounted through its merchants, a trade being transformed throughout XIXcentury, door-to-door and casual sellers. Lastly, the topic of the diffusion of print in Brittany is put forward asbeing the market place of Breton lithography within a national and international network
Los estilos APA, Harvard, Vancouver, ISO, etc.
2

Hauser, Hubert [Verfasser] y Holger [Akademischer Betreuer] Reinecke. "Nanoimprint lithography for solar cell texturisation = Nanoimprint Lithographie fuer die Solarzellentexturierung". Freiburg : Universität, 2013. http://d-nb.info/1123476160/34.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
3

Zheng, Zijian. "Soft lithography and nanoimprint lithography for applications in polymer electronics". Thesis, University of Cambridge, 2007. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.613415.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
4

Kandulski, Witold. "Shadow nanosphere lithography". [S.l.] : [s.n.], 2007. http://deposit.ddb.de/cgi-bin/dokserv?idn=985533013.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
5

Musgraves, J. David. "Maskless Projection Lithography". Scholarship @ Claremont, 2003. http://scholarship.claremont.edu/pomona_theses/17.

Texto completo
Resumen
Photolithography is a key element of the modem integrated circuit process. It is photolithography, combined with metal deposition, that allows a three dimensional circuit to be built up on a two dimensional surface. Since it is such an important part of the semiconductor manufacturing industry, a massive base of research in this area already exists. The problem with this pre-existing research is that it is geared solely toward industrial purposes, as opposed to more academic research areas. The goal of my research is to move this industrial process into the academic setting of Pomom College.
Los estilos APA, Harvard, Vancouver, ISO, etc.
6

Schmidt, Aaron Jerome 1979. "Contact thermal lithography". Thesis, Massachusetts Institute of Technology, 2004. http://hdl.handle.net/1721.1/27116.

Texto completo
Resumen
Thesis (S.M.)--Massachusetts Institute of Technology, Dept. of Mechanical Engineering, 2004.
Includes bibliographical references (p. 65-67).
Contact thermal lithography is a method for fabricating microscale patterns using heat transfer. In contrast to photolithography, where the minimum achievable feature size is proportional to the wavelength of light used in the exposure process, thermal lithography is limited by a thermal diffusion length scale and the geometry of the situation. In this thesis the basic principles of thermal lithography are presented. A traditional chrome-glass photomask is brought into contact with a wafer coated with a thermally sensitive polymer. The mask-wafer combination is flashed briefly with high intensity light, causing the chrome features heat up and conduct heat locally to the polymer, transferring a pattern. Analytic and finite element models are presented to analyze the heating process and select appropriate geometries and heating times. In addition, an experimental version of a contact thermal lithography system has been constructed and tested. Early results from this system are presented, along with plans for future development.
by Aaron Jerome Schmidt.
S.M.
Los estilos APA, Harvard, Vancouver, ISO, etc.
7

Brodsky, Colin John. "Graft polymerization lithography". Access restricted to users with UT Austin EID Full text (PDF) from UMI/Dissertation Abstracts International, 2001. http://wwwlib.umi.com/cr/utexas/fullcit?p3024998.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
8

Baker, Mark. "Metastable Atom Lithography". Thesis, Griffith University, 2008. http://hdl.handle.net/10072/365477.

Texto completo
Resumen
This thesis describes the development of a rare gas metastable atomic beam apparatus, and its application to atom lithography. The principal component of the apparatus is the supersonic DC discharge source. The source parameters, such as operating pressure, skimmer distance, discharge current and nozzle shape were optimised to generate a bright beam of excited state metastable neon and argon, with typical flux of 5×10¹? atoms sr?¹ and 3×10¹? atoms sr?¹ respectively. This apparatus was used to investigate the pattern formation of self assembled monolayer (SAM) resists prepared on Au/Si samples exposed to metastable beams of Ar* and Ne*, through microfabricated contact masks. Positive and negative tone patterning was observed, with supporting XPS analysis attributing the negative tone resists to contamination from pump oil vapour. The formation of negative tone contamination resists by the metastable neon beam was applied to the generation of micrometer sized Fe structures using contact masks. A 3-step etch process was developed and refined, resulting in 7.5µm Fe microdot structures on a Si substrate. A bright transverse and longitudinally cooled and collimated metastable neon beam source for atom lithography was developed. The transverse atomic beam collimation stage produced a collimated beam flux of of 1.4×10¹? s?¹, with a divergence of 22.8 mrad. Axial slowing of the atomic beam was demonstrated with the development of a Zeeman slower. Numerical simulations were undertaken to calculate the motion of metastable neon atoms in a one-dimensional standing wave light field mask. The simulations show the dynamics and atom distributions for the focusing regime (low power) and channeling regime (high power). Future refinements of the apparatus should allow the realisation of nanofabricated structures utilising optical masking techniques.
Thesis (PhD Doctorate)
Doctor of Philosophy (PhD)
School of Biomolecular and Physical Sciences
Faculty of Science
Full Text
Los estilos APA, Harvard, Vancouver, ISO, etc.
9

Park, Jea Woo. "Lithography Hotspot Detection". PDXScholar, 2017. https://pdxscholar.library.pdx.edu/open_access_etds/3781.

Texto completo
Resumen
The lithography process for chip manufacturing has been playing a critical role in keeping Moor's law alive. Even though the wavelength used for the process is bigger than actual device feature size, which makes it difficult to transfer layout patterns from the mask to wafer, lithographers have developed a various technique such as Resolution Enhancement Techniques (RETs), Multi-patterning, and Optical Proximity Correction (OPC) to overcome the sub-wavelength lithography gap. However, as feature size in chip design scales down further to a point where manufacturing constraints must be applied to early design phase before generating physical design layout. Design for Manufacturing (DFM) is not optional anymore these days. In terms of the lithography process, circuit designer should consider making their design as litho-friendly as possible. Lithography hotspot is a place where it is susceptible to have fatal pinching (open circuit) or bridging (short circuit) error due to poor printability of certain patterns in a design layout. To avoid undesirable patterns in layout, it is mandatory to find hotspots in early design stage. One way to find hotspots is to run lithography simulation on a layout. However, lithography simulation is too computationally expensive for full-chip design. Therefore, there have been suggestions such as pattern matching and machine learning (ML) technique for an alternative and practical hotspot detection method. Pattern matching is fast and accurate. Large hotspot pattern library is utilized to find hotspots. Its drawback is that it can not detect hotspots that are unseen before. On contrast, ML is effective to find previously unseen hotspots, but it may produce false positives. This research presents a novel geometric pattern matching methodology using edge driven dissected rectangles and litho award machine learning for hotspot detection. 1. Edge Driven Dissected Rectangles (EDDR) based pattern matching EDDR pattern matching employs member concept inside a pattern bounding box. Unlike the previous pattern matching, the idea proposed in this thesis uses simple Design Rule Check (DRC) operations to create member rectangles for pattern matching. Our approach shows significant speedup against a state-of-art commercial pattern matching tool as well as other methods. Due to its simple DRC edge operation rules, it is flexible for fuzzy pattern match and partial pattern match, which enable us to check previously unseen hotspots as well as the exact pattern match. 2. Litho-aware Machine Learning A new methodology for machine learning (ML)-based hotspot detection harnesses lithography information to build SVM (Support Vector Machine) during its learning process. Unlike the previous research that uses only geometric information or requires a post-OPC (Optical Proximity Correction) mask, our method utilizes detailed optical information but bypasses post-OPC mask by sampling latent image intensity and use those points to train an SVM model. Our lithography-aware machine learning guides learning process using actual lithography information combined with lithography domain knowledge. While the previous works for SVM modeling to identify hotspots have used only geometric related information, which is not directly relevant to the lithographic process, our SVM model was trained with lithographic information which has a direct impact on causing pinching or bridging hotspots. Furthermore, rather than creating a monolithic SVM trying to cover all hotspot patterns, we utilized lithography domain knowledge and separated hotspot types such as HB(Horizontal Bridging), VB (Vertical Bridging), HP(Horizontal Pinching), and VP(Vertical Pinching) for our SVM model. Out results demonstrated high accuracy and low false alarm, and faster runtime compared with methods that require a post-OPC mask. We also showed the importance of lithography domain knowledge to train ML for hotspot detection.
Los estilos APA, Harvard, Vancouver, ISO, etc.
10

Meyers, Bernard C. "Nagual interpretations /". Online version of thesis, 1990. http://hdl.handle.net/1850/10953.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
11

Chen, Ying. "PATTERNING ELASTOMER, THERMOPLASTICS AND SHAPE MEMORYMATERIAL BY UVO LITHOGRAPHY AND SOFT LITHOGRAPHY". University of Akron / OhioLINK, 2017. http://rave.ohiolink.edu/etdc/view?acc_num=akron1491264216402058.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
12

Colburn, Matthew Earl. "Step and flash imprint lithography : a low-pressure, room-temperature nanoimprint lithography /". Access restricted to users with UT Austin EID Full text (PDF) from UMI/Dissertation Abstracts International, 2001. http://wwwlib.umi.com/cr/utexas/fullcit?p3025205.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
13

Kim, Hyung-Jun. "Automation of soft lithography". Thesis, Massachusetts Institute of Technology, 2006. http://hdl.handle.net/1721.1/38290.

Texto completo
Resumen
Thesis (M. Eng.)--Massachusetts Institute of Technology, Dept. of Mechanical Engineering, 2006.
Includes bibliographical references (leaves 79-82).
This dissertation is a final documentation of the project whose goal is demonstrating manufacturability of soft lithography. Specifically, our target is creating micron scale patterns of resists on a 3 square inch, relatively large area in case of soft lithography, flexible substrate using microcontact printing in order to forming electronic circuit patterns for flexible displays. At first, the general principles and characteristics of soft lithography are reviewed in order to provide the snapshot of soft lithography technologies, and the key factors that affect the productivity and quality of microcontact printing are discussed because such factors should be understood in advanced to develop current lab-based microcontact printing science into plant manufacturing technology. We proposed a prototype for automated of microcontact printing process adopting a continuous reel-to-reel design, ideal for mass production, as well as printing-side-up design in order to minimize the distortion of relief features of PDMS stamp. The machine we created not only demonstrated the manufacturability of microcontact printing, our initial project goal, but also high scalability for mass production. The machine can print micron scale patterns on a 7 square inch plastic sheet, four times bigger than initial target area, at once.
by Hyung-Jun Kim.
M.Eng.
Los estilos APA, Harvard, Vancouver, ISO, etc.
14

Tsai, Hsin-Yu Sidney. "Absorbance modulation optical lithography". Thesis, Massachusetts Institute of Technology, 2007. http://hdl.handle.net/1721.1/42253.

Texto completo
Resumen
Thesis (S.M.)--Massachusetts Institute of Technology, Dept. of Electrical Engineering and Computer Science, 2007.
This electronic version was submitted by the student author. The certified thesis is available in the Institute Archives and Special Collections.
Includes bibliographical references (p. 91-94).
In this thesis, the concept of absorbance-modulation optical lithography (AMOL) is described, and the feasibility experimentally verified. AMOL is an implementation of nodal lithography, which is not bounded by the diffraction limit of incident lights. Experimental results showed promising capability of AMOL and matched well with simulation. Several key elements of the AMOL system are discussed: the material systems of AMOL, limitations on the material and optical systems presented, and the design and fabrication of spiral phase elements that generate ring-shaped beams required by AMOL.
by Hsin-Yu Sidney Tsai.
S.M.
Los estilos APA, Harvard, Vancouver, ISO, etc.
15

Rius, Suñé Gemma. "Electron beam lithography for Nanofabrication". Doctoral thesis, Universitat Autònoma de Barcelona, 2008. http://hdl.handle.net/10803/3404.

Texto completo
Resumen
La litografía por haz de electrones (Electron Beam Lithography, EBL) se ha consolidado como una de las técnicas más eficaces que permiten definir motivos en el rango nanométrico. Su implantación ha permitido la nanofabricación de estructuras y dispositivos para su uso en el campo de la nanotecnología y la nanociencia.
La EBL se basa en la definición de motivos submicrónicos mediante el rastreo de un haz energético de electrones sobre una resina. La naturaleza de los electrones y el desarrollo the haces extremadamente finos y su control preciso establecen la plataforma ideal para los requerimientos de la Nanofabricación. El uso de la EBL para el desarrollo de un gran número de nanoestructuras, nanodispositivos y nanosistemas ha sido, y continúa siendo, crucial para las aplicaciones de producción de máscaras, prototipaje o dispositivos discretos para la investigación fundamental. Su éxito radica en la alta resolución, flexibilidad y compatibilidad de la EBL con otros procesos de fabricación convencionales.
El objetivo de esta tesis es el avance en el conocimiento, desarrollo y aplicación de la EBL en las areas de los micro/nanosistemas y la nanoelectrónica. El presente documento refleja parte del trabajo realizado en el Laboratorio de Nanofabricación del Instituto de Microelectrónica de Barcelona IMB-CNM-CSIC durante los últimos cinco años. Debido a la falta de experiencia previa en el IMB en la utilización de la EBL, ha sido necesario el desarrollo y consolidación de una serie de procesos, lo que ha condicionado parcialmente la investigación, tal y como recoge la memoria.
Entre los aspectos relevantes compilados en esta tesis, en cuanto a innovación tecnológica, cabe destacar diversos avances en procesos tecnológicos basados en la EBL. Una nueva resina de tono negativo ha sido caracterizada y disponible para su uso en nanofabricación. La optimización de la EBL se ha llevado a cabo mediante métodos de corrección del efecto de proximidad. Se ha establecido el proceso de integración de estructuras nanomecánicas en circuitos CMOS, así como la fabricación de dispositivos basados en nanotubos de carbono. En concreto, el primer FET basado en un sólo nanotubo de carbono fabricado en España. Finalmente, la compatibilidad y viabilidad de los métodos de fabricación basados en haces de partículas se ha estudiado mediante el análisis del efecto de los haces de partículas cargadas sobre dispositivos. Por otro lado, esta memoria no sólo contiene la descripción de los principales resultados obtenidos, sinó que pretende aportar información general sobre procesos de nanofabricación basados en haces de electrones para ser utilizados en futuras investigaciones de este area.
Electron beam lithography (EBL) has consolidated as one of the most common techniques for patterning at the nanoscale meter range. It has enabled the nanofabrication of structures and devices within the research field of nanotechnology and nanoscience.
EBL is based on the definition of submicronic features by the scanning of a focused energetic beam of electrons on a resist. The nature of electrons and the development of extremely fine beams and its flexible control provide the platform to satisfy the requirements of Nanofabrication. Use of EBL for the development of a wide range of nanostructures, nanodevices and nanosystems has been, and continues to be, crucial for the applications of mask production, prototyping and discrete devices for fundamental research and it relies on its high resolution, flexibility and compatibility with other conventional fabrication processes.
The purpose of this thesis is to advance in the knowledge, development and application of electron beam lithography in the areas of micro/nano systems and nanoelectronics. In this direction, this memory reflects part of the work performed at the Nanofabrication Laboratory of the IMB-CNM. Since there was no previous experience on EBL at CNM, the need for developing a set of processes has determined partially the work.
The variety of topics that concern to nanoscience and nanotechnology is enormous. Chapter 1 briefly sintetizes nanoscale related aspects. This section aims to frame the contents of this thesis, coherently. Also for completeness, it is intended to address the specific subjects under discussion or contained in the following chapters and it is based or oriented to the experimental results that will be presented.
Chapter 2 is a general overview of the EBL technique from the point of view of the system and the physical interaction of the process. In particular, the characteristics of the SEM and specifications of the lithographic capabilities of the system that is used are presented.
In chapter 3, irradiation effect on resists is studied. The chemical behaviour of different polymeric materials is correlated with theoretical simulations for two types of resists: methacrylic based positive resists and epoxy based negative resists. The first is used for validation of the modelization and to describe the general performance of EBL on different conditions. The second covers the experiments oriented to establish the performance parameters of a new resist and comparison with another existing negative electron beam resist. Proximity effect correction concludes with the correlation of theory and experimental results for both types of resists, positive and negative.
Chapter 4 is an example of the fabrication and optimization of a micro/nanosystem for sensing at the nanoscale. In particular, nanoresonators are developed with two approaches (EBL and FIB) and enhanced response is achieved by their integration on CMOS circuitry.
Chapter 5 presents carbon nanotube (CNT) based devices that are realized and implemented for applications in nanoelectronics and sensing. First, different fabrication approaches for contacting CNTs are discussed. Then, the results of electrical characterization of the devices are presented. Finally, technology development for the use of these devices for sensing is established.
The last chapter embraces all the previous sections and pays attention to the effect of electron beam on the devices. In particular, electron induced effect is studied on nanomechanical structures integrated in circuits and CNT based devices, in order to evaluate EBL based fabrication, SEM characterization or more fundamental aspects. Advanced characterization techniques are used together with simulations, both assessing a deeper understanding of the results. Electrical measurements and AFM based techniques are used to characterise the effect of the electron irradiation by changes in their performance characteristics, charging, surface potential imaging, etc.
Main results and solved challenges are summarized in the conclusive chapter 7 that finishes with this document.
Los estilos APA, Harvard, Vancouver, ISO, etc.
16

Harris, Lee George. "Physicochemical lithography of functional nanolayers". Thesis, Durham University, 2006. http://etheses.dur.ac.uk/9358/.

Texto completo
Resumen
To further understand the biological interactions that govern our daily lives it is essential to develop new techniques for the robust tethering of immobilized bio-molecules to substrates for applications such as bio-mimicry, diagnostics, and durability as well as further self assembly. Current technologies devised for this purpose include the functionalization and lithography of Langmuir-Blodgett films, self-assembled monolayers and spin-coated layers. Whilst these methods provide suitable surfaces, they suffer from being substrate dependent and inappropriate for complex 3D-geometries, thus prohibiting their application to a wide range of materials. Pulsed plasma polymerised films can overcome this hurdle and are utilised in this thesis to present amine, epoxide, thiol and protein resistant Interfaces. For instance, genomic an-ays have been created via di-sulfide bridge formation between DNA and thiol groups. Whilst proteomic arrays have been fabricated either via electrostatic immobilization of proteins to charged regions surrounded by a protein resistant background, or alternately, covalent attachment to epoxide surface groups. Similarly, glycomic arrays have been produced by the covalent attachment of D-maltose and p-D-galacto-methanethiosulfonate to amine and thiol surface groups respectively. Furthermore, it has been shown that sequential plasmachemical nanolayering can provide a passivated upper layer and a reactive underlayer which can be subsequently exposed via mechanical removal of the top layer, to yield reactive pixels on the micron and nano-scale. Finally, the substrate independent nature of plasma polymers has been utilised for the coating of compact disc surfaces with reactive nanolayers. Subsequent protein immobilization has been accomplished via Inkjet printing and has shown promise for potential use as in point-of-care diagnostics.
Los estilos APA, Harvard, Vancouver, ISO, etc.
17

Hubbard, Graham John. "Nanoimprint lithography using disposable masters". Thesis, University of Bath, 2011. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.576992.

Texto completo
Resumen
A novel imprint process, called Disposable Master Technology has been developed using disposable masters replicated from nickel masters using roll-to-roll printing. The disposable masters consist of a polyester terephthalate film coated with a photosensitive resin containing the inverse structure of the nickel master. The use of hydrophobic and oleophobic additives was found to improve release after imprinting. This has enabled structures of deeply submicron periodicity to be imprinted on silicon wafers up to 4" diameter with good reproducibility. Resist systems have been developed based on urethane acrylates plus a resist based on Oxetanyl Silsesquioxane which contains silicon for improved etch resistance, useful when transferring the imprinted structures into the substrate by reactive ion etching. The addition of fluorinated acrylates has been shown to improve the substrate coverage during spin coating and to ease disposable master release after imprinting. Silicone acrylate, used as an additive was found to improve the etch resistivity as well as also easing disposable master release. The generation of disposable masters from anodic porous alumina has been investigated. Aluminium sample pre-treatment has been optimized for 2 inch diameter aluminium discs to produce 100 nm and 200 nm spaced pores of 180 to 500 nm depth with conical or cylindrical shape. The self-ordered porous alumina has beef! replicated on to PET film creating polymer nanopillars of down to 50 nm in diameter. The resulting nanostructured polymer films can act as anti-reflection coatings. The angle dependent transmission of polymer films has been found to increase transmission by up to 2% at a normal angle of incidence and by 5% at 70Q, when compared to a control sample. Highly ordered mono-domain porous alumina templates were also demonstrated by pre- texturing the aluminium surface using disposable master technology, to provide another method of fabricating master moulds for disposable master technology
Los estilos APA, Harvard, Vancouver, ISO, etc.
18

Konijn, Mark. "Multilevel Nanoengineering for Imprint Lithography". Thesis, University of Canterbury. Electrical and Computer Engineering, 2005. http://hdl.handle.net/10092/1071.

Texto completo
Resumen
The current trend in pushing photo lithography to smaller and smaller resolutions is becoming increasingly difficult and expensive. Extreme ultra-violet lithography is an alternate method that has the potential to provide feature sizes down to 30 nm, however, it will come at an even greater cost. Nanoimprint lithography (NIL) is another lithographic technique which is promising to provide very high resolutions at a relatively low cost. Imprinting works by using a mold with a surface patterned with the required nano structures and pressing it into a substrate coated with a deformable polymer. Due to its direct pattern replication technique, it is very capable of reproducing three-dimensional structures, however limited research has been performed on this to date. In this study, investigations have been performed into developing a reliable process for creating SiN molds with sub-100 nm structures with variable height control. The process relies on a negative tone electron beam resist which can be patterned to various thicknesses by varying the exposure dosage. This allows for the creation of complex multi-layer structures in a single electron beam lithography step. These patterns then have been transferred into the SiN substrate by a single reactive ion etch. From here the mold is ready for use in imprinting. Study has also been performed into imprinting process as well. This includes the development of an imprint press, the manner in which NIL works. Investigations have been performed into the imprinting performance of 3D molds. Thermal expansion issues have been found and addressed, as have adhesion problems. Some other aspects of 3D NIL which have not been addressed in this study have been outlined in future work for further investigation.
Los estilos APA, Harvard, Vancouver, ISO, etc.
19

Young, Richard James Hendley. "Electroluminescent devices via soft lithography". Thesis, Brunel University, 2017. http://bura.brunel.ac.uk/handle/2438/17139.

Texto completo
Resumen
This thesis provides a compendium for the use of microcontact printing in fabricating electrical devices. Work has been undertaken to examine the use of soft lithographic techniques for employment in electronic manufacture. This thesis focusses on the use of high electric field generators as a means to producing electroluminescent devices. These devices provide a quantifiable output in the form of light. Analysis of the electrical performance of electrode structures can be determined by their success at producing light. A prospective reduction in driving voltage would deem these devices more efficient, longer lasting and an improvement on current specification. The work focussed on the viability of using relatively crude print techniques to create high resolution structures. This was carried out successfully and demonstrated that lighting structures of 75 μm and 25 μm have been produced. Microcontact printing has been established as a method for patterning gold surfaces with a functionalising self-assembled monolayer using alkanethiol molecules. This layer is then utilised as an etch resist layer to expose gold tracks for use as electric field generator electrode arrays. Through careful analysis of each step of the printing process, techniques were developed and reported to create a robust and repeatable print mechanism for reliability and accuracy. These techniques were employed to optimise the print process culminating in the development of each stage and final electrode structures mounted on a rigid backplate for use as electroluminescent devices for characterisation. These devices were then modelled for their electrical characteristics and investigated for being used in low voltage application. In this case for the development of electroluminescent applications, a driving voltage of 65 V was achieved and represents a significant advance to the field of printed electronics and Electroluminescence.
Los estilos APA, Harvard, Vancouver, ISO, etc.
20

Farhoud, Maya S. (Maya Sami). "Interferometric lithography and selected applications". Thesis, Massachusetts Institute of Technology, 1997. http://hdl.handle.net/1721.1/10457.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
21

Liu, Dixi. "NEMS by sidewall transfer lithography". Thesis, Imperial College London, 2015. http://hdl.handle.net/10044/1/31868.

Texto completo
Resumen
A batch fabrication process for nano-electro-mechanical systems (NEMS) based on sidewall transfer lithography (STL) is developed and demonstrated. The STL is used to form nanoscale flexible silicon suspensions entirely by conventional lithography. A two-step process is designed for single-layer STL to fabricate simple electrothermal actuators, while a three-step process is designed to allow nanoscale features intersecting with each other for more complicated device lay-outs. Fabricated nanoscale features has a minimum in-plane width of approx. 100nm and a high aspect ratio of 50 : 1. Combined structures with microscale and nanoscale parts are transferred together into silicon by deep reactive etching (DRIE). Suspensions are achieved either by plasma undercut or HF vapour etch based on BSOI. The STL processes are used to form nanoscale suspensions while conventional lithography is used to form localised microscale features such as anchors. A wide variety of demonstrator devices have been fabricated with high feature quality. Analytic models have been developed to compare with experimental characterization and finite element analysis (FEA) predictions. Lattice structures fabricated by multi-layer STL have also be investigated as a novel type of mechanical metamaterial. Thus, the process could allow low-cost and mass parallel fabrication of future NEMS with a wider range of potential applications.
Los estilos APA, Harvard, Vancouver, ISO, etc.
22

Yao, Peng. "Developing three-dimensional lithography and chemical lithography for applications on micro/nano photonics and electronics". Access to citation, abstract and download form provided by ProQuest Information and Learning Company; downloadable PDF file, 206 p, 2007. http://proquest.umi.com/pqdweb?did=1397913021&sid=11&Fmt=2&clientId=8331&RQT=309&VName=PQD.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
23

Heard, P. J. "Applications of scanning ion beam lithography". Thesis, University of Cambridge, 1985. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.372653.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
24

Yang, Yugu. "Feedback Control for Electron Beam Lithography". UKnowledge, 2012. http://uknowledge.uky.edu/ece_etds/9.

Texto completo
Resumen
Scanning-electron-beam lithography (SEBL) is the primary technology to generate arbitrary features at the nano-scale. However, pattern placement accuracy still remains poor compared to its resolution due to the open-loop nature of SEBL systems. Vibration, stray electromagnetic fields, deflection distortion and hysteresis, substrate charging, and other factors prevent the electron-beam from reaching its target position and one has no way to determine the actual beam position during patterning with conventional systems. To improve the pattern placement accuracy, spatial-phase-locked electron-beam lithography (SPLEBL) provides feedback control of electron-beam position by monitoring the secondary electron signal from electron-transparent fiducial grids on the substrate. While scanning the electron beam over the fiducial grids, the phase of the grid signal is analyzed to estimate the electron-beam position error; then the estimates are sent back to beam deflection system to correct the position error. In this way, closed-loop control is provided to ensure pattern placement accuracy. The implementation of spatial-phase-locking on high speed field-programmable gate array (FPGA) provides a low-cost method to create a nano-manufacturing platform with 1 nm precision and significantly improved throughput. Shot-to-shot, or pixel-to-pixel, dose variation during EBL is a significant practical and fundamental problem. Dose variations associated with charging, electron source instability, optical system drift, and ultimately shot noise in the beam itself conspire to increase critical dimension variability and line width roughness and to limit the throughput. It would be an important improvement to e-beam patterning technology if real-time feedback control of electron-dose were provided to improve pattern quality and throughput even beyond the shot noise limit. A novel approach is proposed in this document to achieve the real-time dose control based on the measurement of electron arrival at the sample to be patterned, rather than from the source or another point in the electron-optical system. A dose control algorithm, implementation on FPGA, and initial experiment results for the real-time feedback dose control on the e-beam patterning tool is also presented.
Los estilos APA, Harvard, Vancouver, ISO, etc.
25

FILHO, HENRIQUE DUARTE DA FONSECA. "METALLIC NANOSTRUCTURE FABRICATION BY AFM LITHOGRAPHY". PONTIFÍCIA UNIVERSIDADE CATÓLICA DO RIO DE JANEIRO, 2004. http://www.maxwell.vrac.puc-rio.br/Busca_etds.php?strSecao=resultado&nrSeq=6061@1.

Texto completo
Resumen
COORDENAÇÃO DE APERFEIÇOAMENTO DO PESSOAL DE ENSINO SUPERIOR
Nesta dissertação de mestrado, nós desenvolvemos um processo de litografia baseado na técnica de microscopia de força atômica. O estudo do processo de litografia aqui utilizado inicia-se com a deposição e caracterização de filmes finos de sulfeto de arsênio amorfo (a-As2S3) em substratos de silício e a deposição de uma camada metálica de alumínio, utilizada como máscara, sobre a superfície do a-As2S3. O microscópio de força atômica é utilizado para escrever os padrões de forma controlada na camada metálica, e para tal, a influencia dos parâmetros de controle do microscópio na realização da litografia foi analisada. Para a transferência do padrão litografado realiza-se um posterior processo de fotossensibilização e dissolução química do a-As2S3 com uma solução de K2CO3. Após a dissolução, uma camada de ouro foi depositada por erosão catódica DC, seguido de uma nova dissolução, desta vez com NaOH resultando na transferência de nanoestruturas de Au para o substrato de silício.
In this dissertation, we have developed a lithography process based on the atomic force microscopy of technique. The study of the lithography process starts with the deposition and characterization of amorphous arsenic sulfide thin films (a-As2S3) in silicon substrates and the deposition of a metallic aluminum layer, used as mask, on the surface of the a-As2S3. An atomic force microscope was used to write patterns in a controlled way on the metallic layer. Therefore, the influence of microscope feedback system on the accomplishment of the lithography was analyzed. In order to transfer the lithographed pattern to a silicon substrate, the a- As2S3 was exposed to a UV light source and was dissolved with a K2CO3 solution. Then, a thin gold layer was deposited by sputtering DC, and a new dissolution, now with NaOH was performed, leading to the deposition of Au nanostructures onto the silicon substrate.
Los estilos APA, Harvard, Vancouver, ISO, etc.
26

Dongxu, Yang. "Novel resists for next generation lithography". Thesis, University of Birmingham, 2016. http://etheses.bham.ac.uk//id/eprint/6532/.

Texto completo
Resumen
With progress in the semiconductor industry, transistor density on a single computer chip has increased dramatically. This has resulted in a continuous shrinkage of the minimum feature size printed through microlithography technology. Resist, as the pattern recording medium of such printing, has been extensively studied to achieve higher resolution, higher sensitivity and lower line edge roughness. For decades this has been realized through chemical amplification. With the feature size continuously shrinking and the energy of exposure source therefore exceeding the resist ionization threshold, the performance of conventional chemically amplified resists is approaching the limits. Novel high-performance chemically amplified resists or non-chemically amplified resists are urgently needed to meet the requirement of next generation lithography. In this work a negative tone chemically amplified resist system based on a novel method to control the catalytic chain reaction is presented. The method to control the catalytic chain reaction is demonstrated using two model polymer resists. This method is then applied to a fullerene-based molecular resist system and a combination of good industrial compatibility, high resolution and good sensitivity has been achieved in this resist. Through a chromatographic separation, another chemically amplified molecular resist was also developed with further improved performance. An alternative route to sensitivity improvement other than chemical amplification is then introduced and a family of fullerene-based metal containing materials is presented. Lithographic performance is compared between the fullerene-metal resists and their control materials without metal. Using an aberration corrected scanning transmission electron microscope, the distribution of metal in the resist film and its behavior during the lithography process is evaluated and discussed.
Los estilos APA, Harvard, Vancouver, ISO, etc.
27

Bong, Ki Wan. "Advanced flow lithography and barcoded particles". Thesis, Massachusetts Institute of Technology, 2012. http://hdl.handle.net/1721.1/76479.

Texto completo
Resumen
Thesis (Ph. D.)--Massachusetts Institute of Technology, Dept. of Chemical Engineering, 2012.
Cataloged from PDF version of thesis.
Includes bibliographical references (p. 120-138).
Anisotropic multifunctional particles have drawn much attention, leading to wide ranges of applications from biomedical areas to electronics. Despite their enormous potentials, particles with geometrically and chemically complex patterns are not widely used because existing methodologies have limitations in large scale, facile production and suffer from constraints of functionality and morphology. For example, the geometries of multifunctional particles prepared by liquid-phase particle synthesis have been mainly restricted to spheres, deformed spheres, or cylinders. This geometrical restriction has resulted from the tendency of liquid systems to adopt arrangements that minimize surface energy. Although template-assisted particle fabrication can overcome this, these methods are largely ineffective at producing particles with chemical anisotropy or patterning, as the precursor liquid is simply isolated in a non-wetting template and then crosslinked in situ. Currently, a technique that can provide both geometrical and chemical complexities to particles has been missing. Distinguished with the above techniques, flow lithography (FL) has been emerging as a powerful synthesis tool that enables the creation of microparticles with complex morphologies and chemical patterns. Combining photolithography with microfluidic methods, FL has provided precise control over particle size, shape, and chemical patchiness. However, in the primitive versions of FL, particle geometry and chemical patterning has been restricted to 2D and 1D, respectively. Also, these techniques have required the use of polydimethylsiloxane (PDMS) devices, greatly limiting the range of precursor materials which can be processed in FL. Here, we present advanced flow lithography to achieve much higher degree of geometrical and chemical complexity than before. For example, lock release lithography (LRL) can be used to introduce three-dimensional (3D) morphologies, and provide chemical anisotropy in the x-y dimensions (in-plane dimensions) of particles. Also, hydrodynamic focusing lithography (HFL) was developed to offer z-directional (particle height direction) chemical anisotropy to particles. Lastly, oxygen-free flow lithography was a technique designed to extend current PDMS-based FL to any kinds of devices and allow for the creation of particles from previously inaccessible reagents such as organic solvents. In this thesis, we have also demonstrated advanced barcoded particles as one application of advanced flow lithography. Previously, barcoded hydrogel particles were created as a promising diagnostic tool for high-throughput screening and multiplexed detection of biomolecules. Utilizing advanced flow lithography, we have added advanced functions to the hydrogel particles introducing magnetic beads, tri-layered structures or near-infrared sensing materials. As the first advanced barcoded particles, we present magnetic barcoded hydrogel particles that had led to practical applications in the efficient orientation and separation of the barcoded particles. Also, we show reinforced barcoded particles that combine the usually orthogonal characteristics of an open porous capture region for biomolecule detection with strong structural properties that resist deformation in flow. Finally, we demonstrate near-infrared barcoded particles which can exhibit label-free and real time detection of target molecules.
by Ki Wan Bong.
Ph.D.
Los estilos APA, Harvard, Vancouver, ISO, etc.
28

Paudel, Trilochan. "Nanosphere Lithography for Nano Optical Applications". Thesis, Boston College, 2011. http://hdl.handle.net/2345/3155.

Texto completo
Resumen
Thesis advisor: Zhifeng Ren
Thesis advisor: Krzysztof Kempa
Many different techniques are available to create nanopatterns in nanoscale devices. However, a few are flexible and inexpensive enough to be practical in the nanotechnology. Here, we study the nanosphere lithography (NSL) based on a self-assembly of microspheres. Using this technique, we have developed various patterns in metallic films, ranging from honeycomb arrays of "quasi-triangles" to circular holes. These various patterns have been used subsequently either as nano-optical structures directly, with remarkable optical and plasmonic properties, or as substrates for further nano-processing. In one such nano-processing, the "quasi-triangle" patterns were used as a catalyst for carbon nanotube growth. The resulting aligned arrays of carbon nanotubes were employed in nanocoax solar cells. In another nano-processing, the arrays were used as masks for electrodeposition. In addition to the nano processing and measurements, we have employed the FDTD computer simulations, to develop a full understanding of the nano-optical and plasmonic properties of the developed structures
Thesis (PhD) — Boston College, 2011
Submitted to: Boston College. Graduate School of Arts and Sciences
Discipline: Physics
Los estilos APA, Harvard, Vancouver, ISO, etc.
29

Kim, Hyunsu. "Interference lithography with extreme ultraviolet light". Thesis, University of Southampton, 2016. https://eprints.soton.ac.uk/410353/.

Texto completo
Resumen
In photolithography, increasing pattern density is a key issue for development of semiconductor devices. Extreme ultraviolet (EUV) radiation is the next generation light source for overcoming the resolution limit of conventional photolithography in order to obtain nanostructures of higher density. In this thesis, we focus on investigating resolution limits of interference patterns produced by EUV radiation. Optical properties of interference fringes obtained using different types of compact EUV sources are studied with regard to increasing pattern density. Rigorous simulations of optical wave propagation of EUV radiation are performed to investigate the resolution limits of interference fringes for the fractional Talbot effect, the achromatic Talbot effect, and an image of Talbot carpet that has an optical property of ever-decreasing size of interference fringes. In experiments, interference lithography has been performed with three different types of compact EUV sources including a gas discharge produced plasma, a plasma based EUV laser, and a high-harmonic generation source. We analyze optical characteristics of particular EUV sources resulting in different capabilities of patterning. Also different optical system designs capable of overcoming the limitations of optical properties of EUV radiation are investigated. We expect that the study of EUV interference lithography can be helpful for understanding the upcoming photolithography resolution and also can be useful as a technology for fabricating very fine structures.
Los estilos APA, Harvard, Vancouver, ISO, etc.
30

Mack, Chris Alan. "Modeling solvent effects in optical lithography /". Digital version accessible at:, 1998. http://wwwlib.umi.com/cr/utexas/main.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
31

Dedman, Emma Ruth. "Characterisation of photonic crystals fabricated by holographic lithography". Thesis, University of Oxford, 2004. http://ora.ox.ac.uk/objects/uuid:35f8c1da-fca7-4086-aab3-fdda5ce346c6.

Texto completo
Resumen
Holographic lithography is a new technique developed for the fabrication of threedimensional photonic crystals in polymer. Four coherent laser beams are interfered to generate a three-dimensionally periodic interference pattern in a film of photoresist. Subsequent processing steps render a three-dimensional photonic crystal, whose structure is commensurate with the original interference pattern. Two interference patterns are discussed in detail: a face-centred cubic pattern with a conventional lattice constant of 922nm in air and a face-centred cubic pattern with a conventional cube side of 397nm in air (interference wavelength 355nm). Three types of basis are presented for the interference pattern with a 922nm lattice constant: a righthanded, a left-handed and a non-chiral basis. Photonic crystals have been fabricated with both a chiral and a non-chiral basis and evaluated by scanning electron microscopy. Optical transmission measurements are presented for the non-chiral photonic crystals and are interpreted in both a Bragg scattering model and a photonic bandstructure model. A 'GaAs' and a 'diamond' basis are presented for the interference pattern with a 397nm lattice constant. Photonic crystals have been fabricated with the 'GaAs' basis and evaluated by scanning electron microscopy.
Los estilos APA, Harvard, Vancouver, ISO, etc.
32

Mehrotra, Prateek. "High Aspect Ratio Lithographic Imaging at Ultra-high Numerical Apertures: Evanescent Interference Lithography with Resonant Reflector Underlayers". Thesis, University of Canterbury. Electrical and Computer Engineering, 2012. http://hdl.handle.net/10092/6935.

Texto completo
Resumen
A near-field technique known as evanescent interferometric lithography allows for high resolution imaging. However its primary limitation is that the image exponentially decays within the photoresist due to physical limits. This thesis aims to overcome this limitation and presents a method to considerably enhance the depth of focus of images created using evanescent interferometric lithography by using a material underlay beneath the photoresist. A key enabler of this is the understanding that evanescent fields couple to surface states and operating within proximity of a resonance, the strength of the coupling allows for considerable energy extraction from the incident beam and redistribution of this energy in a photoresist cavity. This led to the analysis of the Fresnel equations, which suggested that such coupling was in fact the result of an enhanced reflectance that takes place at boundaries of carefully chosen materials. While it is known that metals and lossy dielectrics result in surface plasmon polaritons (SPP) and surface exciton polaritons (SEP) as conventional solutions to the Fresnel reflection equations for the TM polarization of light, there is no such naturally occurring surface state that allows evanescent wave enhancement with the TE polarization of light. Further investigation of the Fresnel reflection equations revealed both for TM and TE that in fact another solution exists that is but unconventional to enhance the reflectivity. This solution requires that one of the media have a negative loss. This is a new type of surface resonance that requires that one of the media be a gain medium; not one in the optical pumped sense but one that would naturally supply energy to a wave to make it grow. This new surface resonance is also a key result of this thesis. Clearly, however this is only a hypothetical solution as a real gain medium would violate the conservation of energy. However, as it is only the reflectance of this gain medium that is useful for evanescent wave enhancement, in fact a multilayered stack consisting of naturally occurring materials is one way to achieve the desired reflectivity. This would of course be only an emulation of the reflectivity aspect of the gain medium. This multilayered stack is then an effective gain medium for the reflectivity purposes when imaging is carried out at a particular NA at a particular wavelength. This proposal is also a key idea of this thesis. At λ = 193 nm, this method was used to propose a feasible design to image high resolution structures, NA = 1.85 at an aspect ratio of ~3.2. To experimentally demonstrate the enhancements, a new type of solid immersion test bed, the solid immersion Lloyd's mirror interference lithography test-bed was constructed. High quality line and space patterns with a half-pitch of 55.5 nm were created using λ = 405 nm, corresponding to a NA of 1.824, that is well in the evanescent regime of light. Image depths of 33-40 nm were seen. Next, the evanescent image was coupled to an effective gain medium made up of a thin layer of hafnium oxide (HfO) upon silicon dioxide (SiO2). This resulted in a considerable depth enhancement, and 105 nm tall structures were imaged. The work in this thesis details the construction of the solid immersion lithography test-bed, describes the implementation of the modeling tools, details the theory and analysis required to achieve the relevant solutions and understanding of the physical mechanism and finally experimentally demonstrates an enhancement that allows evanescent interferometric lithography beyond conventional limits.
Los estilos APA, Harvard, Vancouver, ISO, etc.
33

Rommel, Marcus [Verfasser] y Jürgen [Akademischer Betreuer] Weis. "High resolution electron beam lithography : an improved understanding of a versatile lithography technique / Marcus Rommel ; Betreuer: Jürgen Weis". Stuttgart : Universitätsbibliothek der Universität Stuttgart, 2018. http://d-nb.info/1162893567/34.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
34

Shi, Shichang. "Lithography : friendly routing via forbidden pitch avoidance /". View the Table of Contents & Abstract, 2004. http://sunzi.lib.hku.hk/hkuto/record/B30469636.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
35

Hansson, Björn. "Laser-Plasma Sources for Extreme-Ultraviolet Lithography". Doctoral thesis, KTH, Physics, 2003. http://urn.kb.se/resolve?urn=urn:nbn:se:kth:diva-3677.

Texto completo
Resumen

This thesis describes the development and characterizationof a liquidxenon- jet laser-plasma source forextreme-ultraviolet (EUV) radiation. It is shown how thissource may be suitable for production-scale EUV lithography(EUVL).

EUVL is one of the main candidates to succeeddeep-ultraviolet (DUV) lithography for large-scalemanufacturing of integrated circuits (IC). However, a majorobstacle towards the realization of EUVL is the currentunavailability of a source meeting the tough requirements onespecially power and cleanliness for operation in an EUVLstepper. The liquid-xenon-jet laser-plasma concept has keyadvantages that may make it suitable for EUVL since, e.g., itsplasma consists only of the inert noble gas xenon and since theliquidjet target technology enables plasma operation at largedistances from the source-hardware thereby reducing sputteringand to allowing for high-power operation.

At the beginning of the work described in this thesis, aspatial instability of the liquid-xenon-jet made stableoperation of a plasma at practical distances from the nozzleorifice dicult. However, an invention of a stabilization methodbased on applying localized heating to the tip of thejet-forming nozzle, resulted in stable jet operation. Thelongitudinal droplet stability of a liquid-droplet laser-plasmasource has also been investigated and improved.

Continuous improvements of especially the laser-power toEUV-radiation conversion eciency (CE) and the stability oflaser-plasma operation at large distances (several centimeter)from the nozzle are reported for the liquidxenon- jet laserplasma source. Furthermore, this source is characterizedregarding many parameters relevant for EUVL operationincluding, ion emission from the plasma and related sputteringof nearby components, source size and shape, therepetition-rate limit of the source and non-EUV emission fromthe plasma.

Although the main focus of the thesis has been thedevelopment and characterization of a liquid-xenon-jetlaser-plasma source for production-scale EUVL, the source mayalso be suitable for small field applications that benefit fromthe high potential brightness of the source. A method to scanthe plasma and thus minimize the photon losses whilemaintaining the object plane uniformity was developed.Furthermore, the first operation of a liquidtin- jet laserplasma is reported. Quantitative EUV flux measurements yieldrecord CE, but quantitative contamination measurements alsoindicate that a liquid-tin-jet laser plasma is not likely to beapplicable as a source for EUVL.

Los estilos APA, Harvard, Vancouver, ISO, etc.
36

Hoskins, Trevor P. J. II. "Characterization of Substituted Polynorbornenes for Advanced Lithography". Diss., Georgia Institute of Technology, 2005. http://hdl.handle.net/1853/8727.

Texto completo
Resumen
A fundamental characterization of hexafluoroalcohol substituted polynorbornene (HFAPNB) was completed to improve the final photoresist formulation using these materials. In this work, it was found that the dissolution behavior of these materials was controlled by the ability of polymer chains to form hydrogen bonds. This ability to form interchain hydrogen bonds was affected by stereochemical changes in the polynorbornene backbone as molecular weights increase. These observed changes in backbone polynorbornene stereochemistry were accurately modeled using the "helix-kink" theory, first described by Ahmed and Ludovice. It was found that several material properties altered the interchain hydrogen bonding within these materials, such as the polydispersity, polymerization catalyst, and the polymer film thickness. However, none of these material properties altered the unusual dissolution behavior observed in these materials. To improve the potential formulation of these materials, the interactions between HFAPNB and resist additives were studied. For all tested photoacid generators, it was found that some interchain hydrogen bonding occurred between resist additive molecules and HFA side groups, which retarded the dissolution rate in the formulated material. In particular, one can create a simple resist using unprotected HFAPNB polymer with an iodonium photoacid generator. Finally, a series of norbornene oligomers were evaluated as potential dissolution inhibitors for HFAPNB. It was found that the dissolution rate of HFAPNB can be completely inhibited with dissolution inhibitors at a loading of 15%.
Los estilos APA, Harvard, Vancouver, ISO, etc.
37

Vallejo, Rogelio Murillo. "Magnetic media patterned by laser interference lithography". Enschede : University of Twente [Host], 2006. http://doc.utwente.nl/55932.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
38

Lee, Fung Ying. "Fabrication of nanoparticle arrays by holographic lithography /". View abstract or full-text, 2007. http://library.ust.hk/cgi/db/thesis.pl?PHYS%202007%20LEE.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
39

Gleason, Russell. "Nanosphere lithography applied to magnetic thin films". Thesis, California State University, Long Beach, 2013. http://pqdtopen.proquest.com/#viewpdf?dispub=1524199.

Texto completo
Resumen

Magnetic nanostructures have widespread applications in many areas of physics and engineering, and nanosphere lithography has recently emerged as promising tool for the fabrication of such nanostructures. The goal of this research is to explore the magnetic properties of a thin film of ferromagnetic material deposited onto a hexagonally close-packed monolayer array of polystyrene nanospheres, and how they differ from the magnetic properties of a typical flat thin film. The first portion of this research focuses on determining the optimum conditions for depositing a monolayer of nanospheres onto chemically pretreated silicon substrates (via drop-coating) and the subsequent characterization of the deposited nanosphere layer with scanning electron microscopy. Single layers of permalloy (Ni80Fe20) are then deposited on top of the nanosphere array via DC magnetron sputtering, resulting in a thin film array of magnetic nanocaps. The coercivities of the thin films are measured using a home-built magneto-optical Kerr effect (MOKE) system in longitudinal arrangement. MOKE measurements show that for a single layer of permalloy (Py), the coercivity of a thin film deposited onto an array of nanospheres increases compared to that of a flat thin film. In addition, the coercivity increases as the nanosphere size decreases for the same deposited layer. It is postulated that magnetic exchange decoupling between neighboring nanocaps suppresses the propagation of magnetic domain walls, and this pinning of the domain walls is thought to be the primary source of the increase in coercivity.

Los estilos APA, Harvard, Vancouver, ISO, etc.
40

Watson, Scott M. D. "Scanning probe lithography of chemically functionalised surfaces". Thesis, Durham University, 2008. http://etheses.dur.ac.uk/2055/.

Texto completo
Resumen
A facile route to the production of highly uniform, ultra-thin metal oxide films has-been demonstrated using a combination of self-assembly and Langmuir-Blodgett techniques. Initial modification of a Si/SiO(_2) substrate through self-assembly of an octadecylsiloxane monolayer provides a hydrophobic surface suitable for the "tail down" deposition of a Langmuir-Blodgett monolayer of octadecylphosphonic acid, giving. The resulting –PO(_3)H(_2) functionalised film provides a suitable surface for binding of metal ions (e.g. Zr(^4+), Hf(^4+), Mg(^2+)). The tendency of these metal species to form polymeric structures in aqueous solution allows for the assembly of nanometre thick inorganic metal layers upon the –PO(_3)H(_2) surface. Thermal treatment of the Langmuir-Blodgett films was used to decompose the organic film components, whilst simultaneously calcining the inorganic metal layer, resulting in the formation of highly uniform metal oxide films, typically ca. 1.3 - 1.9 nm thick. Nanoscale patterning of the metal-stabilised Langmuir-Blodgett monolayers has also been demonstrated, by using an AFM probe to apply sufficiently high vertical forces upon the Langmuir-Blodgett surface to selectively displace the monolayer film material within spatially defined surface regions. Pattern resolutions dowm to 30 nm were achieved using this AFM "nanodisplacement" lithographic process. Excellent levels of structural retention of the patterns were also observed upon decomposition of the organic film components to generate the final metal oxide. Similarly, nanodisplacement patterning of metal-stabilised Langmuir-Blodgett monolayers deposited upon amino-flinctionalised substrates has been used for the fabrication of amine patterned surfaces. Selective binding of Au nanoparticles within the amine regions was demonstrated, highlighting the potential of such patterned surfaces as chemical templates for directing the assembly and organisation of other materials
Los estilos APA, Harvard, Vancouver, ISO, etc.
41

He, X. "Nanoimprint lithography for applications in photovoltaic devices". Thesis, University of Cambridge, 2010. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.603915.

Texto completo
Resumen
This thesis describes efforts to achieve an idealized architecture and to characterize the transport in polymer-based PV devices, by employing novel nanoimprint techniques. First, a novel double-imprinting process is described, which allows the fabrication of ideally structured “polymer-polymer” and “polymer-small molecule” heterojunctions, with any composition. The dimensions of both phases can be independently tailored to match the respective exciton diffusion length in either phase PV devices with extremely high densities (up to 1014/mm2) of interpenetrating nanoscale columnar features, as small as 25 nm in the active polymer blend layer, were fabricated and showed considerable improvement over the traditional blend devices. It is believed that this work advances the state of the art in polymeric organic electronic devices. Second, a non-conventional nanopatterning technique has been developed and used to fabricate well-aligned vertical ZnO nanowire arrays. This demonstrates the potential for this approach to serve as a nanostructured metal oxide scaffold for “polymer-metal oxide” hybrid PVs, as well as other nanoscaled (opto)electronic devices, due to its attractive electromechanical properties.
Los estilos APA, Harvard, Vancouver, ISO, etc.
42

Shi, Shichang y 石世長. "Lithography: friendly routing via forbidden pitch avoidance". Thesis, The University of Hong Kong (Pokfulam, Hong Kong), 2004. http://hub.hku.hk/bib/B44570168.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
43

Li, Jia y 李佳. "Computational imaging technologies for optical lithography extension". Thesis, The University of Hong Kong (Pokfulam, Hong Kong), 2014. http://hdl.handle.net/10722/206757.

Texto completo
Resumen
With the development and production of integrated circuits at the 22nm node, optical lithography faces increasing challenges to keep up with the specifications on its performance along various metrics, such as pattern fidelity and process window. The past few years saw the emergence of source mask optimization (SMO) as an important technique in computational lithography, which allows lithographers to rise to the challenges by exploiting a larger design space on both mask and illumination configuration, and integrates with methods such as inverse imaging. Yet, many methods that are used to tackle SMO problem arising in the inverse imaging involve heavy computation and slow convergence, making the technique unappealing for full-chip simulations or large circuits. Therefore, the purpose of this research is to take advantage of computational imaging technologies to solve source and mask design problems, extending the lifetime of optical lithography. The computational burden results in part from identical optimization over the whole mask pattern, consequently, we propose a weighted SMO scheme which applies different degrees of correction in the corresponding regions so that the optimal solutions are reached with fewer iterations. Additionally, undesirably long time is also attributed to the algorithm adopted to solve SMO problem. A fast algorithm based on augmented Lagrangian methods is therefore developed, which use the quasi-Newton method to accelerate convergence, thereby shortening the overall execution time. However, as semiconductor lithography is pushed to even smaller dimensions, mask topography effects have to be taken into account for a more accurate solution of SMO. At this stage, intensive computation is spent mainly in rigorous 3D mask modeling and simulations. To address this issue, we devise an optimization framework incorporating pupil aberrations into SMO procedure, which is performed based on the thin mask model so as to ensure a faster speed. We apply the above approaches to various mask geometries with different critical dimensions. Compared to conventional SMO, simulation results show that the proposed methods lead to better pattern fidelity and larger process window, especially in rigorous calculations. This demonstrates that the source and mask design generated through our algorithms are more practical. More importantly, the improved performance is not at the cost of speed. Instead, our methods take the least time to achieve it. This allows the advantages of computational imaging technologies to be worth exploring for further applications in optical lithography.
published_or_final_version
Electrical and Electronic Engineering
Doctoral
Doctor of Philosophy
Los estilos APA, Harvard, Vancouver, ISO, etc.
44

Colburn, Matthew Earl 1974. "Step and flash imprint lithography : a low-pressure, room-temperature nanoimprint lithograph". 2001. http://hdl.handle.net/2152/10298.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
45

Wang, Yun Wen y 王韻雯. "Study of Achromatic Interference Lithography Technology Used in Large-Area Lithography". Thesis, 2015. http://ndltd.ncl.edu.tw/handle/e83jpf.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
46

CHUANG, CHING MIN y 莊清閔. "Improved Lithography Resolution by Varying I-LINE Optical Lithography Numerical Aperture". Thesis, 2012. http://ndltd.ncl.edu.tw/handle/41063964861734146495.

Texto completo
Resumen
碩士
長庚大學
電子工程學系
100
DRAM (Dynamic Random-Access Memory) is required for larger and larger capacity memory chips with the progress of technology. The IC (Integrated Circuit) manufacturers certainly want to make a largest memory capacity with small wafer size. All they have to do is reducing cost by decreasing the feature size for reaching the requirement of advanced DRAM in next generation. In this study, a process step of via hole was researched. The maximum resolution of window target is 335 nm (CD PATTEM) by KrF (248 nm). However, I-Line wavelength is 365 nm, still can not reach the best focus. Therefore, an I-Line exposure with changing parameters of aperture was used for improving the resolution, and it has reached the same efficiency similar to KrF. In our research, by changing the aperture of conventional and annular and adding a mask with the aperture size of 0.62, the minimum value is 0.2833 μm which was measured by SEM. This result proves that we can have a higher resolution by improved I-Line exposure. Although there still need to improve, technology factories would have the best resolution with lowest cost in the future.
Los estilos APA, Harvard, Vancouver, ISO, etc.
47

Yi-MingLin y 林奕名. "Extreme ultraviolet interferometric lithography - fabrication of transmission grating by using nanoimprint lithography". Thesis, 2011. http://ndltd.ncl.edu.tw/handle/12689475218057827616.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
48

Tuffli, Andrea Lynne. "Imaging in EUV lithography". 1998. http://catalog.hathitrust.org/api/volumes/oclc/39618084.html.

Texto completo
Resumen
Thesis (M.S.)--University of Wisconsin--Madison, 1998.
Typescript. eContent provider-neutral record in process. Description based on print version record. Includes bibliographical references (leaves 71-75).
Los estilos APA, Harvard, Vancouver, ISO, etc.
49

Lin, Chien-Hung y 林建宏. "Ultrasonic Nanoimprint Lithography Technology". Thesis, 2007. http://ndltd.ncl.edu.tw/handle/72340773981514108296.

Texto completo
Resumen
博士
國立清華大學
微機電工程研究所
95
In this study, we report an ultrasonic nanoimprint lithography (U-NIL) method which can overcome the drawbacks of energy consumption and long process time occurred in conventional NIL methods. Instead of using heaters in conventional NIL, the proposed U-NIL employs an ultrasonic source located on the top of mold to generate high frequency vibration causing the increase of temperature to soften and to melt the thermoplastic polymer. The ultrasonic source is induced by the transducer consisting of a number of piezoelectric ceramic discs, sandwiched between two aluminum metal blocks. A novel ultrasonic NIL technology and ultrasonic imprinted machine have been developed and set up. To investigate the effects of imprinted resist thickness and mold geometries on the polymer flow and the temperature distribution of U-NIL through numerical have been simulated. In simulations, the velocity fields in imprinting stage and the temperature distributions in ultrasonic vibrations are performed under the variations of convexity width, cavity width, and thickness of imprinted polymer resist. Moreover, the combined effects of the imprinting stage and ultrasonic vibrations in U-NIL process are discussed. The experimental results demonstrated that vibratory energy could be concentrated in transferring the topography of mold’s surface into the polymer. We conclude that the proposed U-NIL process has the potential to become a novel nanoimprinting method.
Los estilos APA, Harvard, Vancouver, ISO, etc.
50

Lin, Ting-Hsu y 林庭旭. "Development of Dielectrophoretic Lithography". Thesis, 2009. http://ndltd.ncl.edu.tw/handle/86680491845025442508.

Texto completo
Resumen
碩士
國立交通大學
奈米科技研究所
98
Because the lithography technique is progressing continuously, the dimension of transistor device is getting smaller. Recently, development of traditional optical lithography technique is reaching the limit, and it's not practical to have an advanced optical lithography system with shorter light wavelength. Hence the researchers keep investigating the new way for next generation lithography. There are many methods have been demonstrated. In this thesis, we propose a new forming technique controlling UV curable liquid by applying an electric field and than solidifying the material. The fabrication process of the device uses simply planar patterned electrode without any 3-D structure. The technique is based on the EWOD and DEP force. Actuating materials by applying an ac electric field could avoid the light diffraction limit. Besides, we can quantitatively control liquid volume. Furthermore, the waste material was reduced. We successfully drive the curable materials. By tuning up the experimental parameters and the composition of materials, microstructures were built.
Los estilos APA, Harvard, Vancouver, ISO, etc.
Ofrecemos descuentos en todos los planes premium para autores cuyas obras están incluidas en selecciones literarias temáticas. ¡Contáctenos para obtener un código promocional único!

Pasar a la bibliografía