Artículos de revistas sobre el tema "Fully Integrated Voltage Regulators"

Siga este enlace para ver otros tipos de publicaciones sobre el tema: Fully Integrated Voltage Regulators.

Crea una cita precisa en los estilos APA, MLA, Chicago, Harvard y otros

Elija tipo de fuente:

Consulte los 50 mejores artículos de revistas para su investigación sobre el tema "Fully Integrated Voltage Regulators".

Junto a cada fuente en la lista de referencias hay un botón "Agregar a la bibliografía". Pulsa este botón, y generaremos automáticamente la referencia bibliográfica para la obra elegida en el estilo de cita que necesites: APA, MLA, Harvard, Vancouver, Chicago, etc.

También puede descargar el texto completo de la publicación académica en formato pdf y leer en línea su resumen siempre que esté disponible en los metadatos.

Explore artículos de revistas sobre una amplia variedad de disciplinas y organice su bibliografía correctamente.

1

Lambert, William J., Michael J. Hill, Kaladhar Radhakrishnan, Leigh Wojewoda y Anne E. Augustine. "Package Inductors for Intel Fully Integrated Voltage Regulators". IEEE Transactions on Components, Packaging and Manufacturing Technology 6, n.º 1 (enero de 2016): 3–11. http://dx.doi.org/10.1109/tcpmt.2015.2505665.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
2

Lüders, M., B. Eversmann, D. Schmitt-Landsiedel y R. Brederlow. "Fully-integrated LDO voltage regulator for digital circuits". Advances in Radio Science 9 (1 de agosto de 2011): 263–67. http://dx.doi.org/10.5194/ars-9-263-2011.

Texto completo
Resumen
Abstract. Low-dropout (LDO) voltage regulators are widely used to supply low-voltage digital circuits. For recent ultra-low-power microcontroller systems, a fully-integrated LDO without any external capacitance is preferred in order to achieve a fast and energy-efficient wake-up. Commonly, an LDO is specified, designed and verified for DC load currents. In contrast, a digital load creates large current spikes. As an LDO designed for low quiescent current is too slow to react on fast current spikes, a minimum on-chip capacitance is required to keep the supply voltage within a certain error window. Different fully-integrated LDO topologies are investigated regarding their suitability to supply low-voltage digital circuits. The any-load stable LDO topology is selected and implemented on a 0.13 μm test-chip. The LDO is able to provide a maximum load current of 2.5 mA while consuming a quiescent current of 17 μA.
Los estilos APA, Harvard, Vancouver, ISO, etc.
3

Hosny, Mostafa y Sameh Ibrahim. "A Tile-Based, Adaptable Boost Converter with Fast Transient Response and Small Voltage Ripples in 40 nm CMOS Technology". Electronics 12, n.º 5 (3 de marzo de 2023): 1212. http://dx.doi.org/10.3390/electronics12051212.

Texto completo
Resumen
This work presents a fully integrated boost switched-capacitor regulator in 40 nm CMOS technology. In addition to using small MOS + MOM capacitors to reduce the area, the regulator utilizes a pseudo-6-bit analog-to-digital converter at the converter’s output to determine the driving capability needed. Combined with a 32-phase clock, this novel approach reduces control complexity, achieves small ripples, and shows a very fast transient response from zero to the maximum load of 6 mA, and vice versa, in 100 ps with output overshoot and undershoot not exceeding 4% of the regulator’s output voltage. A new Figure of Merit is developed to establish a basis for comparing fully integrated switched regulators’ transient response.
Los estilos APA, Harvard, Vancouver, ISO, etc.
4

Meyer, Joseph, Reza Moghimi y Noah Sturcken. "Package Voltage Regulators: The Answer for Power Management Challenges". International Symposium on Microelectronics 2019, n.º 1 (1 de octubre de 2019): 000438–43. http://dx.doi.org/10.4071/2380-4505-2019.1.000438.

Texto completo
Resumen
Abstract The generational scaling of CMOS device geometries, as predicted by Moore's law, has significantly outpaced advances in CMOS package and power electronics technology. The conduction of power to a high-performance integrated circuit (IC) die typically requires close to 50% of package and IC I/O and is increasing with trends towards lower supply voltages and higher power density that occur in advanced CMOS nodes. The disparity in scaling of logic, package, and I/O technology has created a significant bottleneck that has become a dominant constraint on computational performance. By performing power conversion and voltage regulation in-package, this limitation can be mitigated. Integration of thin-film ferromagnetic inductors with CMOS technology enables single-chip power converters to be co-packaged with processors, high bandwidth memory (HBM), and/or other modules. This paper highlights the advantages of fully integrated package voltage regulators (PVRs), which include: reducing package I/O allocated for power, eliminating the need for upstream power-conversion stages, and improving transient response. These benefits substantially reduce the size, weight, and power of modern electronic systems.
Los estilos APA, Harvard, Vancouver, ISO, etc.
5

Braun, Sebastian, Norbert Kordas, Alexander Utz, Holger Kappert y Rainer Kokozinski. "Fully Integrated Sensor Electronics for Inductive Proximity Switches Operating up to 250 °C". Additional Conferences (Device Packaging, HiTEC, HiTEN, and CICMT) 2019, HiTen (1 de julio de 2019): 000112–16. http://dx.doi.org/10.4071/2380-4491.2019.hiten.000112.

Texto completo
Resumen
Abstract In this paper we present an integrated circuit for inductive proximity switches which requires very few external components and can operate under ambient temperatures up to 250 °C. The sensor system is realized in the Fraunhofer IMS H035 technology which was specifically developed for high temperature operation. The core of the circuit is built of an oscillator which is equipped with a peak detector and readout electronics for threshold detection, references and voltage regulators to provide the necessary internal voltages as well as extensive trimming capabilities to compensate for temperature effects. The circuit can be operated from a single dc-voltage supply from 12 to 35 Volts. Calibration data can be stored in an internal EEPROM. Switching distance and hysteresis are programmable for adapting the circuit to a wide range of different detector coils and sensor geometries. Two output signals are provided that can be independently set to function as push/pull or single ended switches with programmable polarity. The only external components required are blocking capacitors for supply voltage stabilization and the LC resonator circuitry. Reverse polarity protection and special high temperature ESD and clamping structures are also fully integrated on the silicon die.
Los estilos APA, Harvard, Vancouver, ISO, etc.
6

Severo, Lucas Compassi y Wilhelmus Adrianus Maria Van Noije. "A Generic Test Board for the Electrical Characterization of ULP and ULV Fully-Differential Integrated Analog Circuits". Journal of Integrated Circuits and Systems 14, n.º 3 (27 de diciembre de 2019): 1–7. http://dx.doi.org/10.29292/jics.v14i3.90.

Texto completo
Resumen
The characterization of ultra-low power (ULP) fully-differential/balanced amplifiers and active filters is challenging due to the incompatibility with the classical single-ended (SE) and 50 Ω impedance equipment. Interface circuits between the device under test (DUT) and the equipment are needed to perform the signal conversion and to work as voltage buffers. In this work, we propose a generic test circuits to be used in the characterization of ULP and ultra-low voltage (ULV) analog circuits. The test board includes balun transformers to the signal conversion, a high input impedance and low capacitance output driver and voltage regulators to provide the target DUT supply voltage. The characterization of the proposed PCB demonstrates a bandwidth of 30 MHz, output driver input impedance of 5 MΩ with 2.5 pF capacitance and low input-referred noise. The proposed circuit was applied to the electrical characterization of two fully-differential ULV and ULP analog integrated circuits.
Los estilos APA, Harvard, Vancouver, ISO, etc.
7

Abramov, Eli, Timur Vekslender, Or Kirshenboim y Mor Mordechai Peretz. "Fully Integrated Digital Average Current-Mode Control Voltage Regulator Module IC". IEEE Journal of Emerging and Selected Topics in Power Electronics 6, n.º 2 (junio de 2018): 485–99. http://dx.doi.org/10.1109/jestpe.2017.2771949.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
8

Govindan, Srinivasan, Krishna Bharath, Srikrishnan Venkataraman y Dipanjan Gope. "A State-Space-Based Method to Model Vccin Feedthrough Noise in Microprocessors With Fully Integrated Voltage Regulators". IEEE Transactions on Components, Packaging and Manufacturing Technology 11, n.º 9 (septiembre de 2021): 1391–401. http://dx.doi.org/10.1109/tcpmt.2021.3098103.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
9

Al-Shyoukh, Mohammad y Hoi Lee. "A Compact Fully-Integrated Extremum-Selector-Based Soft-Start Circuit for Voltage Regulators in Bulk CMOS Technologies". IEEE Transactions on Circuits and Systems II: Express Briefs 57, n.º 10 (octubre de 2010): 818–22. http://dx.doi.org/10.1109/tcsii.2010.2058597.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
10

Yosef-Hay, Yoni, Dennis Øland Larsen, Pere Llimós Muntal y Ivan H. H. Jørgensen. "Fully integrated, low drop-out linear voltage regulator in 180 nm CMOS". Analog Integrated Circuits and Signal Processing 92, n.º 3 (1 de julio de 2017): 427–36. http://dx.doi.org/10.1007/s10470-017-1012-5.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
11

Bae, Woorham, Sung-Yong Cho y Deog-Kyoon Jeong. "A 1.93-pJ/Bit PCI Express Gen4 PHY Transmitter with On-Chip Supply Regulators in 28 nm CMOS". Electronics 10, n.º 1 (2 de enero de 2021): 68. http://dx.doi.org/10.3390/electronics10010068.

Texto completo
Resumen
This paper presents a fully integrated Peripheral Component Interconnect (PCI) Express (PCIe) Gen4 physical layer (PHY) transmitter. The prototype chip is fabricated in a 28 nm low-power CMOS process, and the active area of the proposed transmitter is 0.23 mm2. To enable voltage scaling across wide operating rates from 2.5 Gb/s to 16 Gb/s, two on-chip supply regulators are included in the transmitter. At the same time, the regulators maintain the output impedance of the transmitter to meet the return loss specification of the PCIe, by including replica segments of the output driver and reference resistance in the regulator loop. A three-tap finite-impulse-response (FIR) equalization is implemented and, therefore, the transmitter provides more than 9.5 dB equalization which is required in the PCIe specification. At 16 Gb/s, the prototype chip achieves energy efficiency of 1.93 pJ/bit including all the interface, bias, and built-in self-test circuits.
Los estilos APA, Harvard, Vancouver, ISO, etc.
12

Gao, Mali, Xiaowu Cai, Yuexin Gao, Ruirui Xia y Bo Li. "Fully Integrated 1.8 V Output 300 mA Load LDO with Fast Transient Response". Electronics 12, n.º 6 (15 de marzo de 2023): 1409. http://dx.doi.org/10.3390/electronics12061409.

Texto completo
Resumen
Based on an 0.18 μm process, this paper proposes a fully integrated 1.8 V output 300 mA load low-dropout linear regulator (LDO) with a fast transient response. By inserting a transient-enhanced biased Class AB super source follower at the gate of the output power transistor, this LDO can quickly adjust the gate voltage of the power transistor without additional power consumption. By adding an active capacitor circuit composed of a fast comparator with offset voltage at the output point, this LDO can quickly charge/discharge the transient current and accelerate the transient response without reducing the circuit stability. Simulation results show that the proposed LDO has an output voltage of 1.8 V, when the input voltage is 2 V to 5 V while consuming 66.4 μA of quiescent current. The proposed capless LDO has a 1.94 µV/mA load regulation, a 0.55 mV/V linear regulation, and a −60 dB@1 kHz power supply rejection. When the load current steps from 3 mA to 300 mA in 300 ns, the LDO settles in 400 ns with an overshoot and undershoot of 67 mV and 86 mV, respectively.
Los estilos APA, Harvard, Vancouver, ISO, etc.
13

Pérez-Bailón, Jorge, Belén Calvo y Nicolás Medrano. "A Fully-Integrated 180 nm CMOS 1.2 V Low-Dropout Regulator for Low-Power Portable Applications". Electronics 10, n.º 17 (30 de agosto de 2021): 2108. http://dx.doi.org/10.3390/electronics10172108.

Texto completo
Resumen
This paper presents the design and postlayout simulation results of a capacitor-less low dropout (LDO) regulator fully integrated in a low-cost standard 180 nm Complementary Metal-Oxide-Semiconductor (CMOS) technology which regulates the output voltage at 1.2 V from a 3.3 to 1.3 V battery over a −40 to 120 °C temperature range. To meet with the constraints of system-on-chip (SoC) battery-operated devices, ultralow power (Iq = 8.6 µA) and minimum area consumption (0.109 mm2) are maintained, including a reference voltage Vref = 0.4 V. It uses a high-gain dynamically biased folded-based error amplifier topology optimized for low-voltage operation that achieves an enhanced regulation-fast transient performance trade-off.
Los estilos APA, Harvard, Vancouver, ISO, etc.
14

Lee, Jeong-Yun, Gwang-Sub Kim, Kwang-Il Oh y Donghyun Baek. "Fully Integrated Low-Ripple Switched-Capacitor DC–DC Converter with Parallel Low-Dropout Regulator". Electronics 8, n.º 1 (16 de enero de 2019): 98. http://dx.doi.org/10.3390/electronics8010098.

Texto completo
Resumen
In this paper, we propose a fully integrated switched-capacitor DC–DC converter with low ripple and fast transient response for portable low-power electronic devices. The proposed converter reduces the output ripple by filtering the control ripple via combining a low-dropout regulator with a main switched-capacitor DC–DC converter with a four-bit digital capacitance modulation control. In addition, the four-phase interleaved technique applied to the main converter reduces the switching ripple. The proposed converter provides an output voltage ranging from 1.2 to 1.5 V from a 3.3 V supply. Its peak efficiency reaches 73% with ripple voltages below 55 mV over the entire output power range. The transient response time for a load current variation from 100 μA to 50 mA is measured to be 800 ns. Importantly, the converter chip, which is fabricated using 0.13 μm complementary metal–oxide–semiconductor (CMOS) technology, has a size of 2.04 mm2. We believe that our approach can contribute to advancements in power sources for applications such as wearable electronics and the Internet of Things.
Los estilos APA, Harvard, Vancouver, ISO, etc.
15

Hu, Chenkai, Zhizhi Chen, Shenglan Ni, Qian Wang, Xi Li, Houpeng Chen y Zhitang Song. "A Fully Integrated Low-Dropout Regulator with Improved Load Regulation and Transient Responses". Micromachines 13, n.º 10 (4 de octubre de 2022): 1668. http://dx.doi.org/10.3390/mi13101668.

Texto completo
Resumen
A fully integrated low-dropout (LDO) regulator with improved load regulation and transient responses in 40 nm technology is presented in this paper. Combining adjustable threshold push-–pull stage (ATPS) and master–slave power transistors topology, the proposed LDO maintains a three-stage structure within the full load range. The proposed structure ensures the steady-state performance of LDO and achieves 0.017 mV/mA load regulation. The ATPS consumes little quiescent current at light load current condition, and the turn-on threshold of the ATPS can be adjusted by a current source. Once the value of current source is set, the turn-on threshold is also determined. A benefit of the proposed structure is that the LDO can be stable from 0 to 100 mA load current with a maximum 100 pF parasitic load capacitance and a 0.7 pF compensation capacitor. It also shows good figure of merit (FOM) without an extra transient enhanced circuit. For the maximum 100 mA load transient with 100 ns edge time, the undershoot and overshoot are less than 33 mv. The dropout voltage of the regulator is 200 mV with input voltage of 1.1 V. The total current consumption of the LDO was 24.6 μA at no load.
Los estilos APA, Harvard, Vancouver, ISO, etc.
16

Sun, Quan, Youguang Zhang, Christine Hu-Guo, Kimmo Jaaskelainen y Yann Hu. "A fully integrated CMOS voltage regulator for supply-noise-insensitive charge pump PLL design". Microelectronics Journal 41, n.º 4 (abril de 2010): 240–46. http://dx.doi.org/10.1016/j.mejo.2010.02.007.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
17

Kar, Monodeep, Arvind Singh, Sanu K. Mathew, Anand Rajan, Vivek De y Saibal Mukhopadhyay. "Reducing Power Side-Channel Information Leakage of AES Engines Using Fully Integrated Inductive Voltage Regulator". IEEE Journal of Solid-State Circuits 53, n.º 8 (agosto de 2018): 2399–414. http://dx.doi.org/10.1109/jssc.2018.2822691.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
18

Chen, Yan-Peng y Kea-Tiong Tang. "A Fully Integrated High-Power-Supply-Rejection Linear Regulator With an Output-Supplied Voltage Reference". IEEE Transactions on Circuits and Systems I: Regular Papers 67, n.º 11 (noviembre de 2020): 3828–38. http://dx.doi.org/10.1109/tcsi.2020.3008031.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
19

Zhang, Yuting, Qianhui Ge y Yanhan Zeng. "A 0.6 VIN 100 mV Dropout Capacitor-Less LDO with 220 nA IQ for Energy Harvesting System". Micromachines 14, n.º 5 (3 de mayo de 2023): 998. http://dx.doi.org/10.3390/mi14050998.

Texto completo
Resumen
A fully integrated and high-efficiency low-dropout regulator (LDO) with 100 mV dropout voltage and nA-level quiescent current for energy harvesting has been proposed and simulated in the 180 nm CMOS process in this paper. A bulk modulation without an extra amplifier is proposed, which decreases the threshold voltage, lowering the dropout voltage and supply voltage to 100 mV and 0.6 V, respectively. To ensure stability and realize low current consumption, adaptive power transistors are proposed to enable system tropology to alter between 2-stage and 3-stage. In addition, an adaptive bias with bounds is utilized in an attempt to improve the transient response. Simulation results demonstrate that the quiescent current is as low as 220 nA and the current efficiency reaches 99.958% in the full load condition, load regulation is 0.0059 mV/mA, line regulation is 0.4879 mV/V, and the optimal PSR is −51 dB.
Los estilos APA, Harvard, Vancouver, ISO, etc.
20

Zawawi, Ruhaifi Bin Abdullah, Hojong Choi y Jungsuk Kim. "High-PSRR Wide-Range Supply-Independent CMOS Voltage Reference for Retinal Prosthetic Systems". Electronics 9, n.º 12 (30 de noviembre de 2020): 2028. http://dx.doi.org/10.3390/electronics9122028.

Texto completo
Resumen
This paper presents a fully integrated voltage-reference circuit for implantable devices such as retinal implants. The recently developed retinal prostheses require a stable supply voltage to drive a high-density stimulator array. Accordingly, a voltage-reference circuit plays a critical role in generating a constant reference voltage, which is provided to a low-voltage-drop regulator (LDO), and filtering out the AC ripples in a power-supply rail after rectification. For this purpose, we use a beta-multiplier voltage-reference architecture to which a nonlinear current sink circuit is added, to improve the supply-independent performance drastically. The proposed reference circuit is fabricated using the standard 0.35 µm technology, along with an LDO that adopts an output ringing compensation circuit. The novel reference circuit generates a reference voltage of 1.37 V with a line regulation of 3.45 mV/V and maximum power-supply rejection ratio (PSRR) of −93 dB.
Los estilos APA, Harvard, Vancouver, ISO, etc.
21

Nguyen, Bai, Nghia Tang, Wookpyo Hong, Zhiyuan Zhou y Deukhyoun Heo. "High-Efficiency Fully Integrated Switched-Capacitor Voltage Regulator for Battery-Connected Applications in Low-Breakdown Process Technologies". IEEE Transactions on Power Electronics 33, n.º 8 (agosto de 2018): 6858–68. http://dx.doi.org/10.1109/tpel.2017.2757950.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
22

Ishida, Yosuke y Toru Tanzawa. "A Fully Integrated AC-DC Converter in 1 V CMOS for Electrostatic Vibration Energy Transducer with an Open Circuit Voltage of 10 V". Electronics 10, n.º 10 (15 de mayo de 2021): 1185. http://dx.doi.org/10.3390/electronics10101185.

Texto completo
Resumen
This paper proposes an AC-DC converter for electrostatic vibration energy harvesting. The converter is composed of a CMOS full bridge rectifier and a CMOS shunt regulator. Even with 1 V CMOS, the open circuit voltage of the energy transducer can be as high as 10 V and beyond. Bandgap reference (BGR) inputs a regulated voltage, which is controlled by the output voltage of the BGR. Built-in power-on reset is introduced, which can minimize the silicon area and power to function normally found upon start-up. The AC-DC converter was fabricated with a 65 nm low-Vt 1 V CMOS with 0.081 mm2. 1 V regulation was measured successfully at 20–70 °C with a power conversion efficiency of 43%.
Los estilos APA, Harvard, Vancouver, ISO, etc.
23

Kang, Po Wei, Annie M. Westerlund, Jingyi Shi, Kelli McFarland White, Alex K. Dou, Amy H. Cui, Jonathan R. Silva, Lucie Delemotte y Jianmin Cui. "Calmodulin acts as a state-dependent switch to control a cardiac potassium channel opening". Science Advances 6, n.º 50 (diciembre de 2020): eabd6798. http://dx.doi.org/10.1126/sciadv.abd6798.

Texto completo
Resumen
Calmodulin (CaM) and phosphatidylinositol 4,5-bisphosphate (PIP2) are potent regulators of the voltage-gated potassium channel KCNQ1 (KV7.1), which conducts the cardiac IKs current. Although cryo–electron microscopy structures revealed intricate interactions between the KCNQ1 voltage-sensing domain (VSD), CaM, and PIP2, the functional consequences of these interactions remain unknown. Here, we show that CaM-VSD interactions act as a state-dependent switch to control KCNQ1 pore opening. Combined electrophysiology and molecular dynamics network analysis suggest that VSD transition into the fully activated state allows PIP2 to compete with CaM for binding to VSD. This leads to conformational changes that alter VSD-pore coupling to stabilize open states. We identify a motif in the KCNQ1 cytosolic domain, which works downstream of CaM-VSD interactions to facilitate the conformational change. Our findings suggest a gating mechanism that integrates PIP2 and CaM in KCNQ1 voltage-dependent activation, yielding insights into how KCNQ1 gains the phenotypes critical for its physiological function.
Los estilos APA, Harvard, Vancouver, ISO, etc.
24

Choi, Minho y Deog-Kyoon Jeong. "Design of Soft-Switching Hybrid DC-DC Converter with 2-Phase Switched Capacitor and 0.8nH Inductor for Standard CMOS Process". Electronics 9, n.º 2 (21 de febrero de 2020): 372. http://dx.doi.org/10.3390/electronics9020372.

Texto completo
Resumen
A soft-switching hybrid DC-DC converter with a 2-phase switched capacitor is proposed for the implementation of a fully-integrated voltage regulator in a 65 nm standard CMOS process. The soft-switching operation is implemented to minimize power loss due to the parasitic capacitance of the flying capacitor. The 2-phase switched capacitor topology keeps the same resonance value for every soft-switching operation, resulting in minimizing the voltage imbalance of the flying capacitor. The proposed adaptive timing generator digitally calibrates the turn-on delay of switches to achieve a complete soft-switching operation. The simulation results show that the proposed soft-switching hybrid DC-DC converter with a 2-phase 2:1 switched capacitor improves the efficiency by 5.1% and achieves 79.5% peak efficiency at a maximum load current of 250 mA.
Los estilos APA, Harvard, Vancouver, ISO, etc.
25

Schaef, Christopher, Kaladhar Radhakrishnan, Krishnan Ravichandran, James W. Tschanz, Vivek De, Nachiket Desai, Harish K. Krishnamurthy et al. "A Light-Load Efficient Fully Integrated Voltage Regulator in 14-nm CMOS With 2.5-nH Package-Embedded Air-Core Inductors". IEEE Journal of Solid-State Circuits 54, n.º 12 (diciembre de 2019): 3316–25. http://dx.doi.org/10.1109/jssc.2019.2946218.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
26

García, Emilio, Eduardo Quiles y Antonio Correcher. "Distributed Intelligent Battery Management System Using a Real-World Cloud Computing System". Sensors 23, n.º 7 (24 de marzo de 2023): 3417. http://dx.doi.org/10.3390/s23073417.

Texto completo
Resumen
In this work, a decentralized but synchronized real-world system for smart battery management was designed by using a general controller with cloud computing capability, four charge regulators, and a set of sensorized battery monitors with networking and Bluetooth capabilities. Currently, for real-world applications, battery management systems (BMSs) can be used in the form of distributed control systems where general controllers, charge regulators, and smart monitors and sensors are integrated, such as those proposed in this work, which allow more precise estimations of a large set of important parameters, such as the state of charge (SOC), state of health (SOH), current, voltage, and temperature, seeking the safety and the extension of the useful life of energy storage systems based on battery banks. The system used is a paradigmatic real-world example of the so-called intelligent battery management systems. One of the contributions made in this work is the realization of a distributed design of a BMS, which adds the benefit of increased system security compared to a fully centralized BMS structure. Another research contribution made in this work is the development of a methodical modeling procedure based on Petri Nets, which establishes, in a visible, organized, and precise way, the set of conditions that will determine the operation of the BMS. If this modeling is not carried out, the threshold values and their conditions remain scattered, not very transparent, and difficult to deal with in an aggregate way.
Los estilos APA, Harvard, Vancouver, ISO, etc.
27

Krishnamurthy, Harish K., Vaibhav Vaidya, Pavan Kumar, Rinkle Jain, Sheldon Weng, Stephen T. Kim, George E. Matthew et al. "A Digitally Controlled Fully Integrated Voltage Regulator With On-Die Solenoid Inductor With Planar Magnetic Core in 14-nm Tri-Gate CMOS". IEEE Journal of Solid-State Circuits 53, n.º 1 (enero de 2018): 8–19. http://dx.doi.org/10.1109/jssc.2017.2759117.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
28

McCue, B. M., R. L. Greenwell, M. I. Laurence, B. J. Blalock, S. K. Islam y L. M. Tolbert. "SOI Based Voltage Regulator for High-Temperature Applications". Additional Conferences (Device Packaging, HiTEC, HiTEN, and CICMT) 2012, HITEC (1 de enero de 2012): 000207–13. http://dx.doi.org/10.4071/hitec-2012-wp12.

Texto completo
Resumen
Developments in automotive (particularly hybrid-electric vehicles), aerospace, and energy production industries have led to expanding research interest in integrated circuit (IC) design toward high-temperature applications. A high-voltage, high-temperature silicon-on-insulator (SOI) process allows for circuit design to expand into these extreme environment applications. Nearly all electronic devices require a reliable supply voltage capable of operating under various supply voltages and load currents. These supply voltages and load currents can be either DC or time-varying signals. In this work, a stable supply voltage for embedded circuits is generated on chip via a voltage regulator producing a stable 5-V output voltage. Although applications of this voltage regulator are not limited to gate driver circuits, this regulator has been developed to meet the demands of a gate driver IC. The voltage regulator must be able to provide reliable output voltage over an input range from 10 V to 30 V, a temperature range of −25°C to 200°C, and output loads from 0 mA to 200 mA. Additionally, low power stand-by operation is provided to help reduce heat generation resulting in lower operating junction temperature. The designed voltage regulator has been successfully tested from −50°C to 200°C while demonstrating an output voltage variation of less than 10 mV under the full range of input voltage. Additionally, line regulation tests from 10 V to 30 V show a 12-ppm/V supply sensitivity. Full temperature and input voltage range tests reveal that the no-load supply current draw is within 17 mA while still providing in excess of 200-mA load current upon demand. Modifications to the existing design or off-chip biasing can widen the range of attainable output voltages and drive capabilities.
Los estilos APA, Harvard, Vancouver, ISO, etc.
29

Riches, S. T., C. Warn, K. Cannon, G. Rickard, L. Stoica y C. Johnston. "Design and Assembly of High Temperature Distributed Aero-engine Control System Demonstrator". Additional Conferences (Device Packaging, HiTEC, HiTEN, and CICMT) 2014, HITEC (1 de enero de 2014): 000285–90. http://dx.doi.org/10.4071/hitec-tha12.

Texto completo
Resumen
This paper covers the development of a distributed high temperature electronics demonstrator for integration with sensor elements to provide digital outputs that can be used by the FADEC (Full Authority Digital Electronic Control) system or the EHMS (Engine Health Monitoring System) on an aircraft engine. This distributed electronics demonstrator eliminates the need for the FADEC or EHMS to process the sensor signal, which will assist in making the overall system more accurate and efficient in processing only digital signals. This will offer weight savings in cables, harnesses and connector pin reduction. The design concept was to take the output from several on-engine sensors, carry out the signal conditioning, multiplexing, analogue to digital conversion and data transmission through a serial data bus. The unit has to meet the environmental requirements of DO-160 with the need to operate at 200°C, with short term operation at temperatures up to 250°C. The work undertaken has been to design an ASIC based on 1.0μm Silicon on Insulator (SOI) device technology incorporating sensor signal conditioning electronics for sensors including resistance temperature probes, strain gauges, thermocouples, torque and frequency inputs. The ASIC contains analogue multiplexers, temperature stable voltage band-gap reference and bias circuits, ADC, BIST, core logic, DIN inputs and two parallel ARINC 429 serial databuses. The ASIC was tested and showed to be functional up to a maximum temperature of 275°C. The ASIC has been integrated with other high temperature components including voltage regulators, a crystal oscillator, precision resistors, silicon capacitors within a hermetic hybrid package. The hybrid circuit has been assembled within a stainless steel enclosure with high temperature connectors. The high temperature electronics demonstrator has been shown to operate from −40°C to +250°C. This work has been carried out under the EU Clean Sky HIGHTECS project with the Project being led by Turbomeca (Fr) and carried out by GE Aviation Systems (UK), GE Research – Munich (D) and Oxford University (UK).
Los estilos APA, Harvard, Vancouver, ISO, etc.
30

Krishnamurthy, Harish K., Sheldon Weng, George E. Mathew, Nachiket Desai, Ruchir Saraswat, Krishnan Ravichandran, James W. Tschanz y Vivek De. "A Digitally Controlled Fully Integrated Voltage Regulator With 3-D-TSV-Based On-Die Solenoid Inductor With a Planar Magnetic Core for 3-D-Stacked Die Applications in 14-nm Tri-Gate CMOS". IEEE Journal of Solid-State Circuits 53, n.º 4 (abril de 2018): 1038–48. http://dx.doi.org/10.1109/jssc.2017.2773637.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
31

Huang, Xiao Zong, Lun Cai Liu, Wen Gang Huang, Jun Luo y Dong Mei Zhu. "An Integrated Ramp Generator for PWM Voltage Regulators". Applied Mechanics and Materials 644-650 (septiembre de 2014): 3682–85. http://dx.doi.org/10.4028/www.scientific.net/amm.644-650.3682.

Texto completo
Resumen
An integrated ramp generator is presented in this paper. For traditional implementations, the amplitude clamp is realized with zener diode to limit the output voltage to ±VZ, while the zener diode is not available for standard CMOS process. The transmission gate is utilized to make the output voltage in the determined range. The reference voltage is provided by a bandgap voltage reference with temperature compensation, which guarantees the temperature stabilization of the frequency of the ramp generator. The ramp generator was fabricated in a commercial CMOS process. The frequency of 44kHz is achieved under the power supply of 3.5V, and the frequency variation of 41kH to 46kHz with the power supply of 3.3V to 5V.
Los estilos APA, Harvard, Vancouver, ISO, etc.
32

Daves, Glenn G. "Trends in Automotive Packaging". Additional Conferences (Device Packaging, HiTEC, HiTEN, and CICMT) 2014, DPC (1 de enero de 2014): 001818–50. http://dx.doi.org/10.4071/2014dpc-keynote_th1_daves.

Texto completo
Resumen
The long-term trend in automobiles has been increasing electronics content over time. This trend is expected to continue and drives diverse functional, form factor, and reliability requirements. These requirements, in turn, are leading to changes in the package types selected and the performance specifications of the packages used for automotive electronics. Several examples will be given. This abstract covers the development of a distributed high temperature electronics demonstrator for integration with sensor elements to provide digital outputs that can be used by the FADEC (Full Authority Digital Electronic Control) system or the EHMS (Engine Health Monitoring System) on an aircraft engine. This distributed electronics demonstrator eliminates the need for the FADEC or EHMS to process the sensor signal, which will assist in making the overall system more accurate and efficient in processing only digital signals. This will offer weight savings in cables, harnesses and connector pin reduction. The design concept was to take the output from several on-engine sensors, carry out the signal conditioning, multiplexing, analogue to digital conversion and data transmission through a serial data bus. The unit has to meet the environmental requirements of DO-160 with the need to operate at 200°C, with short term operation at temperatures up to 250°C. The work undertaken has been to design an ASIC based on 1.0 μm Silicon on Insulator (SOI) device technology incorporating sensor signal conditioning electronics for sensors including resistance temperature probes, strain gauges, thermocouples, torque and frequency inputs. The ASIC contains analogue multiplexers, temperature stable voltage band-gap reference and bias circuits, ADC, BIST, core logic, DIN inputs and two parallel ARINC 429 serial databuses. The ASIC was tested and showed to be functional up to a maximum temperature of 275°C. The ASIC has been integrated with other high temperature components including voltage regulators, a crystal oscillator, precision resistors, silicon capacitors within a hermetic hybrid package. The hybrid circuit has been assembled within a stainless steel enclosure with high temperature connectors. The high temperature electronics demonstrator has been demonstrated operating from −40°C to +250°C. This work has been carried out under the EU Clean Sky HIGHTECS project with the Project being led by Turbomeca (Fr) and carried out by GE Aviation Systems (UK), GE Research – Munich (D) and Oxford University (UK).
Los estilos APA, Harvard, Vancouver, ISO, etc.
33

Yavuz, Kutluhan Kürşad, Ertuğrul Karakulak y Reşat Mutlu. "Memristor-based series voltage regulators". Journal of Electrical Engineering 70, n.º 6 (1 de diciembre de 2019): 465–72. http://dx.doi.org/10.2478/jee-2019-0079.

Texto completo
Resumen
Abstract Series linear voltage regulators are integrated circuits commonly used to make adjustable voltage sources. When used with potentiometers, these regulators are able to give adjustable voltage at the output. Memristor is a new nonlinear circuit element which came out in the last decade. It is able to provide electronically adjustable resistance. If a memristor is fed with a voltage over the threshold and it is not under saturation, its resistance also called memristance is dependent on the integration of its current, also called memristor charge. Memristor shows promise for different types and lots of digital and analog applications. In this paper, memristor-based series voltage regulator topologies are suggested and they are examined using parameters and simulations. Some design criteria have been given for the memristor-based series voltage regulators.
Los estilos APA, Harvard, Vancouver, ISO, etc.
34

Ahmed, Muhammad, Rojan Bhattarai, Sheikh Jakir Hossain, Sherif Abdelrazek y Sukumar Kamalasadan. "Coordinated Voltage Control Strategy for Voltage Regulators and Voltage Source Converters Integrated Distribution System". IEEE Transactions on Industry Applications 55, n.º 4 (julio de 2019): 4235–46. http://dx.doi.org/10.1109/tia.2019.2902524.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
35

Liu, Zhi Jie, Shou Qi Wei, Si Si Zhu, Zhen Yuan Su, Ming Li y Xu Chen. "Development of Power Supply for Inverter Electron Beam Welder Based on Zero-Voltage Switching Technology". Applied Mechanics and Materials 389 (agosto de 2013): 467–70. http://dx.doi.org/10.4028/www.scientific.net/amm.389.467.

Texto completo
Resumen
In this paper, introduce the power supply for full-bridge inverter high frequency high voltage electron beam welder, applying PWM-Buck integrated circuit and zero-voltage switching technology and the control system. Getting continuously adjustable, stable over current and over voltage protection, and the regulator the accuracy requirements of output voltage from high voltage power supply, and improve the energy conversion efficiency of the system.
Los estilos APA, Harvard, Vancouver, ISO, etc.
36

Ibrahim, Sarmad, Aaron M. Cramer y Yuan Liao. "Integrated control of voltage regulators and distributed generation inverters". Electric Power Systems Research 169 (abril de 2019): 45–52. http://dx.doi.org/10.1016/j.epsr.2018.12.003.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
37

Sun, Teng, Himani Sharma, P. Markondeya Raj, Furukawa Yoshihiro, Satoshi Hachiya, Keiji Takemura y Rao Tummala. "Substrate-Embedded Low-Resistance Solenoid Inductors for Integrated Voltage Regulators". IEEE Transactions on Components, Packaging and Manufacturing Technology 10, n.º 1 (enero de 2020): 134–41. http://dx.doi.org/10.1109/tcpmt.2019.2956528.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
38

Jin, Jie y LV Zhao. "Low Voltage Low Power Fully Integrated Chaos Generator". Journal of Circuits, Systems and Computers 27, n.º 10 (24 de mayo de 2018): 1850155. http://dx.doi.org/10.1142/s0218126618501554.

Texto completo
Resumen
A low voltage low power fully integrated chaos generator is presented in this paper. Comparing with the conventional off-the-shelf electronic components-based chaos generators, the designed circuit is fully integrated, and it achieves lower supply voltage, lower power dissipation and smaller chip area. The proposed fully integrated chaos generator is verified with GlobalFoundries 0.18[Formula: see text][Formula: see text]m CMOS 1P6M RF process using Cadence IC Design Tools. The simulation results demonstrate that the fully integrated chaos generator consumes only 17[Formula: see text]mW from [Formula: see text]2.5[Formula: see text]V supply voltage. Moreover, the chip area of the chaos generator is only 1.755[Formula: see text]mm2 including the testing pads, and it has a wide range of practical application prospects.
Los estilos APA, Harvard, Vancouver, ISO, etc.
39

Lee, Jaeseo, G. Hatcher, L. Vandenberghe y Chih-Kong Ken Yang. "Evaluation of Fully-Integrated Switching Regulators for CMOS Process Technologies". IEEE Transactions on Very Large Scale Integration (VLSI) Systems 15, n.º 9 (septiembre de 2007): 1017–27. http://dx.doi.org/10.1109/tvlsi.2007.902204.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
40

Koroncai, A. "Integrated Switching Regulators for ISDN Applications in High Voltage CMOS Technology". ECS Proceedings Volumes 1989-15, n.º 1 (enero de 1989): 419–26. http://dx.doi.org/10.1149/198915.0419pv.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
41

Lambert, William J., Michael J. Hill, Kevin P. O'Brien, Kaladhar Radhakrishnan y Paul Fischer. "Study of Thin-Film Magnetic Inductors Applied to Integrated Voltage Regulators". IEEE Transactions on Power Electronics 35, n.º 6 (junio de 2020): 6208–20. http://dx.doi.org/10.1109/tpel.2019.2948825.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
42

Jan, Doutreloigne. "Fully Integrated High-Voltage Generators with Optimized Power Efficiency". Journal of Computer and Communications 02, n.º 13 (2014): 1–8. http://dx.doi.org/10.4236/jcc.2014.213001.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
43

Uranga, A., J. Teva, J. Verd, J. L. López, F. Torres, J. Esteve, G. Abadal, F. Pérez-Murano y N. Barniol. "Fully CMOS integrated low voltage 100 MHz MEMS resonator". Electronics Letters 41, n.º 24 (2005): 1327. http://dx.doi.org/10.1049/el:20053473.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
44

Chuah, Joon Huang y David Holburn. "Design of fully-integrated digitally-controlled CMOS voltage amplifier". International Journal of Electronics 101, n.º 12 (24 de febrero de 2014): 1662–70. http://dx.doi.org/10.1080/00207217.2014.888778.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
45

Megej, A., K. Beilenhoff y H. L. Hartnagel. "Fully monolithically integrated feedback voltage controlled oscillator [using PHEMTs]". IEEE Microwave and Guided Wave Letters 10, n.º 6 (junio de 2000): 239–41. http://dx.doi.org/10.1109/75.852428.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
46

Jin, Jie y Li Cui. "Fully Integrated Memristor and Its Application on the Scroll-Controllable Hyperchaotic System". Complexity 2019 (10 de enero de 2019): 1–8. http://dx.doi.org/10.1155/2019/4106398.

Texto completo
Resumen
In this paper, a fully integrated memristor emulator using operational amplifiers (OAs) and analog multipliers is simulated. Based on the fully integrated memristor, a scroll-controllable hyperchaotic system is presented. By controlling the nonlinear function with programmable switches, the memristor-based hyperchaotic system achieves controllable scroll numbers. Moreover, the memristor-based hyperchaotic system is fully integrated in one single chip, and it achieves lower supply voltage, lower power dissipation, and smaller chip area. The fully integrated memristor and memristor-based hyperchaotic system are verified with the GlobalFoundries’ 0.18 μm CMOS process using Cadence IC Design Tools. The postlayout simulation results demonstrate that the memristor-based fully integrated hyperchaotic system consumes 90.5 mW from ±2.5 V supply voltage and it takes a compact chip area of 1.8 mm2.
Los estilos APA, Harvard, Vancouver, ISO, etc.
47

Miller, Greg J. "A Highly Integrated GaAs-based Module for DC-DC Regulators". International Symposium on Microelectronics 2013, n.º 1 (1 de enero de 2013): 000604–10. http://dx.doi.org/10.4071/isom-2013-wa65.

Texto completo
Resumen
There is a need and desire to push low voltage point-of-load voltage regulators (POL VRs) to higher switching frequencies. The main reason for this is to increase power density. Silicon MOSFET-based solutions are rapidly approaching their technology limits and are not capable of providing multi-MHz switching frequency for high current (>10A) applications. Gallium Arsenide (GaAs) field effect transistors (FETs) can switch much faster, enabling cost-effective, high-current, high switching frequency POL VRs. Recent advances in GaAs technologies have enabled the demonstration of 5MHz VRs and provide a path to even higher frequency (>50MHz) Power Supply in Package (PSiP) solutions. The high-speed GaAs power FETs are the “engine” to enable efficient high switching frequency POL VRs, but certain key elements must be designed appropriately to realize the desired performance. The gate driver and power path impedances must be minimized. To do this, a high level of integration is required, thus packaging is a critical element. New embedded die packaging solutions enable this high level of integration, dramatically reducing key parasitic impedances that can otherwise throttle performance, while also facilitating very compact multi-chip modules.
Los estilos APA, Harvard, Vancouver, ISO, etc.
48

Tap-Beteille, Helene y Marc Lescure. "A SERIES VOLTAGE REGULATOR INTEGRATED IN CMOS TECHNOLOGY". SYNCHROINFO JOURNAL 7, n.º 4 (2021): 21–25. http://dx.doi.org/10.36724/2664-066x-2021-7-4-21-25.

Texto completo
Resumen
Since the regulation of voltage supply is one of the most critical requirement of the electronic system design, the monolithic voltage regulator has become one of the most important building block of both analog and digital systems. This importance has been recently increased with the emergent low voltage technologies, encouraging industrials and researchers to work on new regulator structures. The voltage regulator presented here, has been first calculated and simulated through PSpice. The paper deals with the conception of a series voltage regulator integrated in 0.6µm CMOS technology. This type of regulators has become one of the most important building block of both analog and digital systems. It is constituted by a bandgap voltage reference and an error amplifier associated with a ballast element. The circuit obtained is unconditionally stable with good performances: a power-supply-rejection-ratio < 2%, an output resistance < 0.3 W and a temperature coefficient < 10 ppm. It is integrated on an ASIC for on board applications where low volume and low power consumption are key elements.
Los estilos APA, Harvard, Vancouver, ISO, etc.
49

Ma, Yanzhao, Yinghui Zou, Shengbing Zhang y Xiaoya Fan. "A 50 mV Fully-Integrated Self-Startup Circuit for Thermal Energy Harvesting". Journal of Circuits, Systems and Computers 26, n.º 12 (agosto de 2017): 1750196. http://dx.doi.org/10.1142/s0218126617501961.

Texto completo
Resumen
A fully-integrated self-startup circuit with ultra-low voltage for thermal energy harvesting is presented in this paper. The converter is composed of an enhanced swing LC oscillator and a charge pump with decreased equivalent input capacitance. The LC oscillator has ultra-low input voltage and high output voltage swing, and the charge pump has a fast charging speed and small equivalent input capacitance. This circuit is designed with 0.18[Formula: see text][Formula: see text]m standard CMOS process. The simulation results show that the output voltage is in the range of 0.14[Formula: see text]V and 2.97[Formula: see text]V when the input voltage is changed from 50[Formula: see text]mV to 150[Formula: see text]mV. The output voltage could reach 2.87[Formula: see text]V at the input voltage of 150[Formula: see text]mV and the load of 1[Formula: see text]M[Formula: see text]. The maximum efficiency is in the range of 10.0% and 14.8% when the input voltage is changed from 0.2[Formula: see text]V to 0.4[Formula: see text]V. The circuit is suitable for thermoelectric energy harvesting to start with ultra-low input voltage.
Los estilos APA, Harvard, Vancouver, ISO, etc.
50

Patil, Amita, Xiao An Fu, Mehran Mehregany y Steven Garverick. "Fully-Integrated 6H-SiC JFET Amplifiers for High-Temperature Sensing". Materials Science Forum 645-648 (abril de 2010): 1107–10. http://dx.doi.org/10.4028/www.scientific.net/msf.645-648.1107.

Texto completo
Resumen
Fully monolithic, transimpedance and differential voltage amplifiers are reported in this paper based on 6H-SiC, n-channel, depletion-mode JFETs. The single-stage transimpedance amplifier has a low-frequency gain of ~222 kΩ at room temperature, with ~2% gain matching for copies on a 6-mm x 6-mm die. The transimpedance gain is set by an integrated resistor and is ~1.1 MΩ at 450oC. The single-stage, differential voltage amplifier has a typical gain-bandwidth of ~2.8 MHz at 600oC and a typical open-loop voltage gain of ~35.8 dB at 25oC, with less than 1-dB gain variation from 25-600oC.
Los estilos APA, Harvard, Vancouver, ISO, etc.
Ofrecemos descuentos en todos los planes premium para autores cuyas obras están incluidas en selecciones literarias temáticas. ¡Contáctenos para obtener un código promocional único!

Pasar a la bibliografía