Tesis sobre el tema "FD-SOI (transistors)"
Crea una cita precisa en los estilos APA, MLA, Chicago, Harvard y otros
Consulte los 26 mejores tesis para su investigación sobre el tema "FD-SOI (transistors)".
Junto a cada fuente en la lista de referencias hay un botón "Agregar a la bibliografía". Pulsa este botón, y generaremos automáticamente la referencia bibliográfica para la obra elegida en el estilo de cita que necesites: APA, MLA, Harvard, Vancouver, Chicago, etc.
También puede descargar el texto completo de la publicación académica en formato pdf y leer en línea su resumen siempre que esté disponible en los metadatos.
Explore tesis sobre una amplia variedad de disciplinas y organice su bibliografía correctamente.
Park, Hyungjin. "Dispositifs innovants de la technologie FD-SOI". Thesis, Université Grenoble Alpes (ComUE), 2019. http://www.theses.fr/2019GREAT039.
Texto completoThe main purpose of this PhD work is to investigate the fundamentals of floating body effects (FBEs) in recent generations of ultrathin FDSOI devices. Several FBEs, (i) kink effect, (ii) gate-induced FBE, (iii) parasitic bipolar transistor, (iv) sharp switching, (v) current hysteresis, and (vi) transient and history effect (MSD), are scrutinized in terms of interaction between holes and electrons in ultrathin transistor body. The key point is that in an n-channel SOI MOSFET the FBEs are originated from the interplay of the excess holes which are either being stored or eliminated. For better understanding of FBEs, the body potential Vb has measured directly in H-gate body contact n-MOSFETs. The dynamic Vb variation has also been monitored successfully thanks to lateral P+ body contacts extended into the undoped-silicon film underneath the front-gate.Through the measurements of Vb, there are three major findings highlighted for the first time: (i) correlation between the onset of the FBEs and the Vb variation, (ii) new experimental evidence of super-coupling effect observed while the surface potential is changed from depletion to volume inversion, (iii) establishment of a new technique for extracting threshold voltage VT compared with the typical methods based on the current-voltage characteristics.Finally, innovative FDSOI devices such as back-gated InGaAs lateral N+NN+ MOSFET, and Z2-FET sensors, are characterized. We demonstrate the basic performance of the InGaAs-on-Insulator substrate by using Ψ-MOSFET technique. Sensing features of the Z2-FET are investigated under magnetic field or illumination
Henry, Jean-Baptiste. "Contribution à l'étude expérimentale des résistances d'accès dans les transistors de dimensions deca-nanométrique des technologies CMOS FD-SOI". Thesis, Université Grenoble Alpes (ComUE), 2018. http://www.theses.fr/2018GREAT039/document.
Texto completoThe reduction of the dimensions of field effect MOS transistors has slowed down during the last years due to the increasing importance of parasitic factors such as access resistance. As a matter of fact, channel miniaturisation was accompanied by a reduction of its intrinsic resistance while that of the access region at the frontier with the channnel stayed constant or increased. The goal of this thesis was to set a new electrical characterization method to take into account this parasitic component long considered negligible in by industrials.In the first chapter, CMOS technologies working and its FD-SOI adaptation specificities are presented. The second half of the chapter deals with the state of the art of electrical characterization and their hypothesis about access resistance.The second chapter present a new resistive and capacitive parasitic components extraction method using transistors of close channel length. The results are then compared to existing models from which, a new one more physically accurate is proposed.The third chapter expose a new electrical characterization method based on Y function allowing the analyze of transistor behavior on the whole working regime. This new method is then combined with the one developped in the previous chapter to build a new experimental protocol to correct and analyze the impact of access resistances on current curves and parameters.Finally, the last chapter apply this new methodology to the case of stochastic mismatch between transistors. The results are then compared to the methods used by industrials and academics, each of them having their own pros and cons. The new method proposed tries to keep the best of both previous one
El, Husseini Joanna. "Modélisation et caractérisation de la conduction électrique et du bruit basse fréquence de structures MOS à multi-grilles". Thesis, Montpellier 2, 2011. http://www.theses.fr/2011MON20209/document.
Texto completoWith the continuous reduction of the size of MOS devices, various associated short channel effects become significant and limit this scaling. To restrain this limit, multi-gate MOSFET devices seem to be more interesting, thanks to their better control of the gate on the channel. These new devices seem to be good candidates to replace the classical MOS architecture. The existing physical models used to predict the behaviour of MOSFET bulk devices are limited when they are applied to these emerging structures. This thesis is devoted to the development of numerical and analytical models dedicated to the characterization of new SOI architectures and bulk devices. We focus on the modeling of the drain current based on the surface potential as well was the modeling of the low frequency noise behaviour of these devices. We propose an explicit model describing the front and back surface potential of a FD SOI structure. We then develop numerical and analytical low frequency noise models allowing the characterization of the different oxides of a FD SOI structure. The last part of this thesis is devoted to the study of a new architecture of bulk MOS transistors. A characterization of the electrical conduction of this device and its low frequency noise behavior are presented
Park, So Jeong. "Propriétés électriques et modélisation des dispositifs MOS avanvés : dispositif FD-SOI, transistors sans jonctions (JLT) et transistor à couche mince à semi-conducteur d'oxyde amorphe". Phd thesis, Université de Grenoble, 2013. http://tel.archives-ouvertes.fr/tel-00954637.
Texto completoDuchaine, Julian. "Caractérisation de l'implantation par immersion plasma avec pulsion(r) et intégration dans la fabrication de transistors FD-SOI et Trigate". Toulouse 3, 2012. http://www.theses.fr/2012TOU30197.
Texto completoThe industry of microelectronics will update regularly its "roadmap" for its international technological developments. The development of new technological processes is accelerating, driven by the need for portable electronics, personal computers with more powerful, telecommunications and multimedia, as well as the very important development of electronics in the automobile world. This race requires the integration of implantation processes with low energy and high dose (based on components). To meet the demand of industrial, IBS has developed its own prototype of plasma immersion ion implanter (PULSION (r)). This type of tool is very attractive to manufacturers because it offers performance and production rates (wafer / hour) with a lower manufacturing cost than conventional implanter (ion beam). This thesis aims to characterize the processes of P-type implantation by plasma immersion using the tool installed at the LETI "PULSION "to integrate in the manufacture of new transistors generations (FD-SOI ultimate Trigate for nano-wires). Many experimental studies have been performed to understand the physical and chemical mechanisms involved during the plasma immersion implantation. Understanding these mechanisms is much more complicated than ion beam implantation because the substrate is constantly immersed in the plasma and all ion species are implanted into the substrate. So, we observed different behavior of the implanted boron atoms between the two implantation techniques. The plasma and implantation conditions were optimized in order to integrate Pulsion (r) processes in the manufacture of FD-SOI and Trigate transistors. The first results show that plasma immersion implantation provides, on planar components (FD-SOI), the same electrical performance as ion beam implanter. Against by performance improved significantly on Trigate transistors. Further developments processes should improve again its performance
Labrot, Maxime. "Développement de procédés d'épitaxie basse température pour les technologies CMOS FD-SOI avancées". Thesis, Aix-Marseille, 2016. http://www.theses.fr/2016AIXM4082/document.
Texto completoThis work concerns the Fully-Depleted Silicon-On-Insulator (FD-SOI) technology, which is a promising option for the technical nodes beyond 14nm.The use of a very thin Si or SiGe channel causes new technological problems due to (1) morphological instabilities that break the film during its high temperature annealing, (2) the necessity to grow Raised Source & Drain (RSD) by epitaxial Chemical-Vapor Deposition (CVD) of SiGe:B, (3) the non-uniformity of the boron profile in the channel because of the number of interfaces (substrate/channel, channel/ source, channel/drain). This experimental work has been performed at STMicroelectronics and Nanoscience Interdisciplinary Center of Marseille laboratory. The main results are:1/ The definition and the improvement of an efficient low temperature surface-cleaning process that avoids the dewetting of the channel.2/ The optimization of the surface preparation of the channel for a subsequent epitaxial growth of RSD materials compatible with electronic requirements.3/ The improvement, via carbon incorporation, of the boron dopant profile in the epitaxially grown RSD. Analysis of electrical devices show that all these improvements lead to a huge enhancement of the percentage of electrical active dies per wafer (from 40% to 90 %)
Paquien, Lucien. "Transmetteur intégré bidirectionnel dédié à la 5G mmW dans un système de formation de faisceaux hybride et numérique". Electronic Thesis or Diss., Bordeaux, 2024. http://www.theses.fr/2024BORD0064.
Texto completoThe increasing demand for data rate for mobile telecommunications has led to the use of beamforming systems in order to notably limit the impact of free space propagation losses (FSPL) over the link budget, due to the elevation of the operating frequency. In order to be able to direct a directional beam concentrating the majority of the gain of the antenna array towards a given user, a large number of integrated radio frequency front-ends (RFFE) is necessary.Conventionally, 5G RFFEs generally consist of a low noise amplifier (LNA), and a power amplifier (PA). The latter are physically dissociated, and are alternatively addressed using a commuted element, in order to operate in time division duplexing (TDD). In this case, not only does the switched element involve losses and a significant silicon surface requirement, but also the RFFEs are only used half the time (due to TDD). Also, this large silicon area required must then be multiplied by the number of elements that constitutes the beamforming system. In addition, the spacing between each antenna constituting the antenna array being proportional to the wavelength, the latter could therefore reach higher operating frequencies if the RFFEs are miniaturized. In this work, a solution allowing the elimination of the need for a commuted element, as well as the merging of the LNA and PA is proposed, inducing a strong reduction in the silicon surface area required for the same operation that conventional architectures, using the GF 22nm CMOS FD-SOI technology. Although the design of millimeter functions (mmW) will be discussed, the frequency conversion aspect as well as the study of baseband functions will also be covered, including the design of a RF passive mixer, two reconfigurable second- and fourth-order active-RC low-pass filters, a variable gain amplifier (VGA), a 50Ω analog buffer, a double pole double throw (DPDT) switch, as well as a generation chain of quadrature signals, done from the combination of a hybrid coupler (HCPLR), and an external off-chip local oscillator (LO). The complete system will be simulated to demonstrate the relevancy of these structures regarding performances and required silicon surface, and axis for improvement will also be listed
Gauthier, Alexis. "Etude et développement d’une nouvelle architecture de transistor bipolaire à hétérojonction Si / SiGe compatible avec la technologie CMOS FD-SOI". Thesis, Lille 1, 2019. http://www.theses.fr/2019LIL1I081.
Texto completoThe studies presented in this thesis deal with the development and the optimization of bipolar transistors for next BiCMOS technologies generations. The BiCMOS055 technology is used as the reference with 320 GHz fT and 370 GHz fMAX performances. Firstly, it is showed that the vertical profile optimization, including thermal budget, base and collector profiles allows to reach 400 GHz fT HBT while keeping CMOS compatibility. In a second time, a fully implanted collector is presented. Phosphorous-carbon co-implantation leads to defect-free substrate, precise dopants profile control and promising electrical performances. A new 450 GHz fT record is set thanks to optimized design rules. A low-depth STI module (SSTI) is developed to limit the base / collector capacitance increase linked to this type of technology. In a third time, the silicon integration of a new bipolar transistor architecture is detailed with the aim of overcoming DPSA-SEG architecture limitations used in BiCMOS055 and first electrical results are discussed. This part shows the challenges of the integration of new-generation bipolar transistors in a CMOS platform. The functionality of the emitter / base architecture is demonstrated through dc measurements. Eventually, the feasibility of 28-nm integration is evaluated with specific experiments, especially about implantations through the SOI, and an overview of potential 3D-integrations is presented
Bedecarrats, Thomas. "Etude et intégration d’un circuit analogique, basse consommation et à faible surface d'empreinte, de neurone impulsionnel basé sur l’utilisation du BIMOS en technologie 28 nm FD-SOI". Thesis, Université Grenoble Alpes (ComUE), 2019. http://www.theses.fr/2019GREAT045.
Texto completoWhile Moore’s law reaches its limits, microelectronics actors are looking for new paradigms to ensure future developments of our information society. Inspired by biologic nervous systems, neuromorphic engineering is providing new perspectives which have already enabled breakthroughs in artificial intelligence. To achieve sufficient performances to allow their spread, neural processors have to integrate neuron circuits as small and as low power(ed) as possible so that artificial neural networks they implement reach a critical size. In this work, we show that it is possible to reduce the number of components necessary to design an analogue spiking neuron circuit thanks to the functionalisation of parasitic generation currents in a BIMOS transistor integrated in 28 nm FD-SOI technology and sized with the minimum dimensions allowed by this technology. After a systematic characterization of the FD-SOI BIMOS currents under several biases through quasi-static measurements at room temperature, a compact model of this component, adapted from the CEA-LETI UTSOI one, is proposed. The BIMOS-based leaky, integrate-and-fire spiking neuron (BB-LIF SN) circuit is described. Influence of the different design and bias parameters on its behaviour observed during measurements performed on a demonstrator fabricated in silicon is explained in detail. A simple analytic model of its operating boundaries is proposed. The coherence between measurement and compact simulation results and predictions coming from the simple analytic model attests to the relevance of the proposed analysis. In its most successful achievement, the BB-LIF SN circuit is 15 µm², consumes around 2 pJ/spike, triggers at a rate between 3 and 75 kHz for 600 pA to 25 nA synaptic currents under a 3 V power supply
Rahhal, Lama. "Analyse et modélisation des phénomènes de mismatch des transistors MOSFET avancées". Thesis, Grenoble, 2014. http://www.theses.fr/2014GRENT061/document.
Texto completoFor correct operation, certain analog and digital circuits, such as current mirrors or SRAM, require pairs of MOS transistors that are electrically identical. Real devices, however, suffer from random local variations in the electrical parameters, a problem referred to as mismatch. The aim of this thesis is to understand the physical causes of mismatch, to quantify this phenomenon, and to propose solutions that enable to reduce its effects. In this context, four major areas are treated. The first one focuses on the optimization of mismatch measurement methodologies. A new technique for the measurement of Vt and β mismatch and an ID mismatch model are proposed, analyzed and applied to experimental data for 28 nm Bulk and FD SOI technologies. The second area focuses on the characterization of different configurations of MOS transistors in order to propose design architectures that are optimized for certain applications. Specifically, the possibility of replacing LDEMOS with transistors in cascode configuration is analyzed. The third area focuses on the analysis and modeling of mismatch phenomena in advanced Bulk and SOI transistors. Three aspects are analyzed: 1) the impact of the introduction of germanium in P channel of 28nm BULK transistors; 2) the elimination of the metal gate contribution to Vt mismatch by using 20nm Gate-last Bulk technology; 3) a descriptive study of the principal contributions to Vt, β and ID mismatch in 28 and 14 nm FD SOI technologies. The last area treats the mismatch trends with transistor aging. NBTI stress tests were applied to PMOS 28nm FD SOI transistors. Models of the Vt and β mismatch trends as a function of the induced interface traps and fixed charges at the Si/SiO2 interface and in the oxide were developed and discussed
Karel, Amit. "Comparative Study of FinFET and FDSOI Nanometric Technologies Based on Manufacturing Defect Testability". Thesis, Montpellier, 2017. http://www.theses.fr/2017MONTS084/document.
Texto completoFully Depleted Silicon on Insulator (FDSOI) and Fin Field Effect Transistor (FinFET) are new innovations in silicon process technologies that are likely alternatives to traditional planar Bulk transistors due to their respective promising ways of tackling the scalability issues with better short channel characteristics. Both these technologies are aiming in particular at regaining a better electrostatic control by the gate over the channel of the transistor. FDSOI is a planar MOS technology and as a result it is much more in continuity with planar Bulk as compared to the vertical FinFET transistors. The competition between these two technologies is fierce and many studies have been reported in the literature to compare these technologies in terms of speed performance, power consumption, cost, etc. However, these studies have not yet focused on their testability properties while the impact of defects on circuits implemented in FDSOI and FinFET technologies might be significantly different from the impact of similar defects in planar MOS circuit.The work of this thesis is focused on implementing similar design in each technology and comparing the electrical behavior of the circuit with the same defect. The defects that are considered for our investigation are inter-gate resistive bridging, resistive short to ground terminal (GND), resistive short to power supply (VDD) and resistive open defects. Defect detectability is evaluated in the context of either logic or delay based test. HSPICE and Cadence SPECTRE simulations are performed varying the value of the defect resistance and the concept of critical resistance is used to compare the defect detectability range in different technologies. The optimal body-biasing, supply voltage and temperature settings to achieve the maximum defect coverage are determined for these defect types. An analytical analysis is proposed for short defects based on the ON-resistance of P and N networks, which permits to evaluate the value of the critical resistance without performing fault simulations. Testability properties are also established under the presence of process variations based on Monte-Carlo simulations for both Regular-VT devices (FDSOI-RVT and Bulk-LR) and Low-VT devices (FDSOI-LVT and Bulk-LL) available for 28nm Bulk and FDSOI technologies
Pradeep, Krishna. "Caractérisation et modélisation de la variabilité au niveau du dispositif dans les MOSFET FD-SOI avancés". Thesis, Université Grenoble Alpes (ComUE), 2019. http://www.theses.fr/2019GREAT020/document.
Texto completoThe ``Moore's Law'' has defined the advancement of the semi-conductor industry for almost half a century. The device dimensions have reduced with each new technology node, and the design community and the market for the semiconductor have always followed this advancement of the industry and created applications which took better advantage of these new devices. But during the past decade, with the device dimensions approaching the fundamental limits imposed by the materials, the pace of this scaling down of device dimensions has decreased. While the technology struggled to keep alive the spirit of ``Moore's Law'' using innovative techniques like 3-D integration and new device architectures, the market also evolved to start making specific demands on the devices, like low power, low leakage devices demanded by Internet of Things (IoT) applications and high performance devices demanded by 5-G and data centre applications. So the semiconductor industry has slowly moved away from being driven by technology advancement, and rather it is now being driven by applications.Increasing power dissipation is an unavoidable outcome of the scaling process, while also targeting higher frequency applications. Historically, this issue has been handled by replacing the basic transistors (BJTs by MOSFETs), freezing the operation frequency in the system, lowering supply voltage, etc. The reduction of supply voltage is even more important for low power applications like in IoT, but this is limited by the device variability. Lowering the supply voltage implies reduced margin for the designers to handle the device variability. This calls for access to improved tools for the designers to predict the variability in the devices and evaluate its effect on the performance of their design and innovations in technology to reduce the variability in the devices. This thesis concentrates in the first part, and evaluates how the device variability can be accurately modelled and how its prediction can be included in the compact models used by the designers in their SPICE simulations.At first the thesis analyses the device variability in advanced FD-SOI transistors using direct measurements. In the spatial scale, depending on the distance between the two devices being considered, the variability can be classified into intra-die, inter-die, inter-wafer, inter-lot or even between different fabs. For the sake of simplicity all the variability within a single die can be grouped together as local variability, while others as global variability. Finally between two arbitrary device, there will be contributions from both local and global variability, in which case it is easier to term it as the total variability. Dedicated measurement strategies are developed using specialized test structures to directly evaluate the variability in different spatial scales using C-V and I-V characterisations. The effect of variability is first analysed on selected figure of merits (FOMs) and process parameters extracted from the C-V and I-V curves, for which parameter extraction methodologies are developed or existing methods are improved. This analysis helps identify the distribution of the parameters and the possible correlations present between the parameters.A very detailed analysis of the device variability in advanced FD-SOI transistors is undertaken in this thesis and a novel and unique characterisation and modelling methodology for the different types of variability is presented in great detail. The dominant sources of variability in the device behaviour, in terms of C-V and I-V and also in terms of parasitics (like gate leakage current) are identified and quantified. This work paves the way to a greater understanding of the device variability in FD-SOI transistors and can be easily adopted to improve the predictability of the commercial SPICE compact models for device variability
Bouchoucha, Mohamed Khalil. "Méthode de conception basée sur le coefficient d’inversion pour l’optimisation énergétiques des circuits RF et millimétrique, en technologie 28 nm FD-SOI CMOS". Electronic Thesis or Diss., Université Grenoble Alpes, 2024. http://www.theses.fr/2024GRALT026.
Texto completoIn response to the flourishing market demands for the new generation of IoT devices, thiswork addresses the design and optimization of Low Noise Amplifiers (LNAs). The LNAsserves as the main building block of low-power LNA-first sub-6GHz receivers dedicated to5G Long-Term Evolution for machines (LTE-M) and Narrowband IoT (NB-IoT) cellularstandards. Recognizing the escalating challenges in ultra-low power IoT device connectivity, the significance of optimizing LNAs lies in enhancing overall receiver performanceand meeting the strict low noise and reduced power budget requirements of LTE-M andNB-IoT applications. Besides, it requires the utilization of cost-efficient, high-performing,and extensively integrated technology for Very Large Scale Integration. In this thesis, weemploy the 28 nm FD-SOI CMOS technology provided by STMicroelectronics.To improve power efficiency, the LNA is designed using a comprehensive analyticalmethodology. This methodology leverages the transistor inversion level as a key designparameter, providing insights into the design space. Employing a proposed simple 6-parameter advanced compact model (ACM) introduced in this work, applicable acrossall transistor regions and operation regimes, the methods enable preliminary LNA sizingthrough analytical equations. This simple model, an adaptation of previous ACM versionsaccommodating various physical parameters, is made suitable for both bulk and FD-SOItechnology, incorporating a fourth terminal.The primary contribution lies in the design of a wideband, low-noise sub-6GHz tunable multimode inductorless LNA, utilizing an active gm-boosting Common-Gate (CG)architecture. Tunability is achieved through discrete coarse mode selection and continuous fine-tuning the back-gate of FD-SOI CMOS technology, showcasing the adaptabilityof body-bias for finely tunable architectures, specifically addressing the dynamic demandsof IoT environments.The transistor model, coupled with the analytical LNA description, guides the designalgorithm, exploring various performance trade-offs against the specified requirements.Implemented in STMicroelectronics’ 28 nm FD-SOI CMOS Technology with an activearea of 0.0059 mm2, the measured performance demonstrates over 30 dB voltage gainwith a dynamic range exceeding 20 dB across modes for a frequency range of 400 MHzto 5 GHz. The noise figure (NF) varies from a stringent value of 1.8 dB to 7 dB, while the Input-referred third-order Intercept Point (IIP3) spans from -24.5 dBm to -6.5 dBmbased on the selected mode. The maximum power consumption is 1.86 mW from a 0.9 Vsupply. Fine-tuning the LNA performances across modes achieves extensive coverage ofthe design space.Furthermore, the proposed design methodologies are applied to different LNA architectures, including Resistive feedback common-source, common-gate, and gm-boost common gate LNAs, showcasing the simplicity and applicability of the analytical approach in addressing diverse design scenarios. This paves the way to future energy-efficient implementations targetting ULP ULV IoT receiver front-end solutions
De, conti Louise. "Conception de protection 3D contre les décharges électrostatiques (ESD) en technologie silicium avancée sur isolant (FD SOI) film mince multi couches". Thesis, Université Grenoble Alpes (ComUE), 2019. http://www.theses.fr/2019GREAT051.
Texto completoThe thesis objective was to design protection devices against electrostatic discharges (ESD) in the silicon thin-film using the 28 nm node ultra-thin Body and Buried Oxide (UTBB) Fully Depleted Silicon-On-Insulator (FD-SOI) technology with high-k metal gate. Existing devices were studied and new technological solutions were proposed to improve them. Besides, new devices were elaborated. 3D TCAD simulation was used for understanding their electrical behavior. Silicon characterization were performed to verify the response of devices to typical ESD tests. This work paves the way of innovative ESD protection devices built in the thin film with a special care given to 3D concerns, such as (i) the possibility of implementing the protection in a 3D monolithic integrated circuit, (ii) building a matrix as a protection device, and (iii) merging different devices such as benefiting from a 3D conduction of current
Vu, Van Tuan. "Recherche et évaluation d'une nouvelle architecture de transistor bipolaire à hétérojonction Si/SiGe pour la prochaine génération de technologie BiCMOS". Thesis, Bordeaux, 2016. http://www.theses.fr/2016BORD0304/document.
Texto completoThe ultimate objective of this thesis is to propose and evaluate a novel SiGe HBT architec-ture overcoming the limitation of the conventional Double-Polysilicon Self-Aligned (DPSA) archi-tecture using Selective Epitaxial Growth (SEG). This architecture is designed to be compatible with the 28-nm Fully Depleted (FD) Silicon On Insulator (SOI) CMOS with a purpose to reach the objec-tive of 400 GHz fT and 600 GHz fMAX performance in this node. In order to achieve this ambitious objective, several studies, including the exploration and comparison of different SiGe HBT architec-tures, 55-nm Si/SiGe BiCMOS TCAD calibration, Si/SiGe BiCMOS thermal budget study, investi-gating a novel architecture and its optimization, have been carried out. Both, the fabrication process and physical device models (incl. band gap narrowing, saturation velocity, high-field mobility, SRH recombination, impact ionization, distributed emitter resistance, self-heating and trap-assisted tunnel-ing, as well as band-to-band tunneling), have been calibrated in the 55-nm Si/SiGe BiCMOS tech-nology. Furthermore, investigations done on process thermal budget reduction show that a 370 GHz fT SiGe HBT can be achieved in 55nm assuming the modification of few process steps and the tuning of the bipolar vertical profile. Finally, the Fully Self-Aligned (FSA) SiGe HBT architecture using Selective Epitaxial Growth (SEG) and featuring an Epitaxial eXtrinsic Base Isolated from the Collector (EXBIC) is chosen as the most promising candidate for the 28-nm FD-SOI BiCMOS genera-tion. The optimization of this architecture results in interesting electrical performances such as 470 GHz fT and 870 GHz fMAX in this technology node
Shin, Minju. "Caractérisation électrique et modélisation des transistors FDSOI sub-22nm". Thesis, Université Grenoble Alpes (ComUE), 2015. http://www.theses.fr/2015GREAT098/document.
Texto completoSilicon on insulator (SOI) transistors are among the best candidates for sub-22nm technology nodes. At this scale, the devices integrate extremely thin buried oxide layers (BOX) and body. They also integrate advanced high-k dielectric / metal gate stacks and strain engineering is used to improve transport properties with, for instance, the use of SiGe alloys in the channel of p-type MOS transistors. The optimization of such a technology requires precise and non-destructive experimental techniques able to provide information about the quality of electron transport and interface quality, as well as about the real values of physical parameters (dimensions and doping level) at the end of the process. Techniques for parameter extraction from electrical characteristics have been developed over time. The aim of this thesis work is to reconsider these methods and to further develop them to account for the extremely small dimensions used for sub-22nm SOI generations. The work is based on extended characterization and modelling in support. Among the original results obtained during this thesis, special notice should be put on the adaptation of the complete split CV method which is now able to extract the characteristic parameters for the entire stack, from the substrate and its doping level to the gate stack, as well as an extremely detailed analysis of electron transport based on low temperature characterization in back-gate electrostatic coupling conditions or the exploitation of channel magnetoresistance from the linear regime of operation to saturation. Finally, a detailed analysis of low-frequency noise closes this study
Madhvaraj, Manasa. "BIST autoréférencé pour la mesure de la gigue aléatoire avec une résolution inférieure à la picoseconde à des fréquences GHz". Electronic Thesis or Diss., Université Grenoble Alpes, 2024. http://www.theses.fr/2024GRALT023.
Texto completoThis thesis proposes a novel implementation of on-chip jitter measurement with a sub-picosecond resolution using a self-referenced architecture in STMicroelectronics 28 nm FD-SOI technology. Clock jitter is the deviation in the time instants of signal edges of a periodic signal that can have an adverse impact on various parameters depending on the application. Jitter measurement with a sub-picosecond resolution for signals in the Gigahertz range has become a necessity in this age of high-speed data transfer.On-chip jitter measurement is a great alternative to measure jitter of high-speed clocks and overcome challenges faced in external jitter measurement. The on-chip self-referenced architecture does away with the need for an external very clean clock, and a delayed version of the clock signal under test (SUT) is used for sampling. Clock jitter is computed from the jitter histogram that is generated by sampling the SUT around the vicinity of the ideal rising edge.The major challenge posed by the self-referenced architecture for jitter measurement at high resolution is the need for very precise delay elements. The resolution of measurement depends on the smallest time-step by which the SUT can be delayed. This thesis addresses this challenge by using a combination of Vernier Delay Line (VDL) and Time Difference Amplifier (TDA) in addition to highly tunable delay elements to achieve a sub-picosecond resolution of random jitter measurement. A Ring Oscillator-based calibration scheme has been used for precise tuning of required delays, which allows for a one-shot calibration of all delay elements. The fabricated prototype of the instrument occupies a die area of 340*230 µm2 without pads. Electrical simulations demonstrate the possibility of sub-picosecond resolution of jitter measurement for clock signal in the Gigahertz range. This work has been carried out in the framework of the Nano 2022 program in collaboration with ST Microelectronics, Crolles
Malherbe, Victor. "Multi-scale modeling of radiation effects for emerging space electronics : from transistors to chips in orbit". Thesis, Aix-Marseille, 2018. http://www.theses.fr/2018AIXM0753/document.
Texto completoThe effects of cosmic radiation on electronics have been studied since the early days of space exploration, given the severe reliability constraints arising from harsh space environments. However, recent evolutions in the space industry landscape are changing radiation effects practices and methodologies, with mainstream technologies becoming increasingly attractive for radiation-hardened integrated circuits. Due to their high operating frequencies, new transistor architectures, and short rad-hard development times, chips manufactured in latest CMOS processes pose a variety of challenges, both from an experimental standpoint and for modeling perspectives. This work thus focuses on simulating single-event upsets and transients in advanced FD-SOI and bulk silicon processes.The soft-error response of 28 nm FD-SOI transistors is first investigated through TCAD simulations, allowing to develop two innovative models for radiation-induced currents in FD-SOI. One of them is mainly behavioral, while the other captures complex phenomena, such as parasitic bipolar amplification and circuit feedback effects, from first semiconductor principles and in agreement with detailed TCAD simulations.These compact models are then interfaced to a complete Monte Carlo Soft-Error Rate (SER) simulation platform, leading to extensive validation against experimental data collected on several test vehicles under accelerated particle beams. Finally, predictive simulation studies are presented on bit-cells, sequential and combinational logic gates in 28 nm FD-SOI and 65 nm bulk Si, providing insights into the mechanisms that contribute to the SER of modern integrated circuits in orbit
Malherbe, Victor. "Multi-scale modeling of radiation effects for emerging space electronics : from transistors to chips in orbit". Electronic Thesis or Diss., Aix-Marseille, 2018. http://www.theses.fr/2018AIXM0753.
Texto completoThe effects of cosmic radiation on electronics have been studied since the early days of space exploration, given the severe reliability constraints arising from harsh space environments. However, recent evolutions in the space industry landscape are changing radiation effects practices and methodologies, with mainstream technologies becoming increasingly attractive for radiation-hardened integrated circuits. Due to their high operating frequencies, new transistor architectures, and short rad-hard development times, chips manufactured in latest CMOS processes pose a variety of challenges, both from an experimental standpoint and for modeling perspectives. This work thus focuses on simulating single-event upsets and transients in advanced FD-SOI and bulk silicon processes.The soft-error response of 28 nm FD-SOI transistors is first investigated through TCAD simulations, allowing to develop two innovative models for radiation-induced currents in FD-SOI. One of them is mainly behavioral, while the other captures complex phenomena, such as parasitic bipolar amplification and circuit feedback effects, from first semiconductor principles and in agreement with detailed TCAD simulations.These compact models are then interfaced to a complete Monte Carlo Soft-Error Rate (SER) simulation platform, leading to extensive validation against experimental data collected on several test vehicles under accelerated particle beams. Finally, predictive simulation studies are presented on bit-cells, sequential and combinational logic gates in 28 nm FD-SOI and 65 nm bulk Si, providing insights into the mechanisms that contribute to the SER of modern integrated circuits in orbit
Akgul, Yeter. "Gestion de la consommation basée sur l’adaptation dynamique de la tension, fréquence et body bias sur les systèmes sur puce en technologie FD-SOI". Thesis, Montpellier 2, 2014. http://www.theses.fr/2014MON20132/document.
Texto completoBeyond 28nm CMOS BULK technology node, some limits have been reached in terms of performance improvements. This is mainly due to the increasing power consumption. This is one of the reasons why new technologies have been developed, including those based on Silicon-On-Insulator (SOI). Moreover, the standardization of complex architectures such as multi-core architectures emphasizes the problem of power management at fine-grain. FD-SOI technologies offer new power management opportunities by adjusting, in addition to the usual parameters such as supply voltage and clock frequency, the body bias voltage. In this context, this work explores new opportunities and searches novel solutions for dynamically manage supply voltage, clock frequency and body bias voltage in order to optimize the power consumption of System on Chip.Adjusting supply voltage, frequency and body bias parameters allows multiple operating points, which must satisfy the constraints of functionality and performance. This work focuses initially at design time, proposing a method to optimize the placement of these operating points. An analytical solution to maximize power savings achieved through the use of several operating points is provided. The second important contribution of this work is a method based on convexity concept to dynamically manage the supply voltage, the frequency and the body bias voltage so as to optimize the energy efficiency. The experimental results based on real circuits show average power savings reaching 35%
Mao, Yuqing. "Nouvelle génération de générateurs de fréquence par auto-calibration de la grille arrière des transistors en technologie FDSOI". Electronic Thesis or Diss., Université Côte d'Azur, 2023. http://www.theses.fr/2023COAZ4123.
Texto completoModern data communication systems heavily rely on synchronous transmission techniques to optimize bandwidth and minimize power consumption. In such systems, only the data signal is transmitted, necessitating the implementation of Clock and Data Recovery (CDR) circuits at the receiver end. This thesis explores the novel application of Fully-Depleted Silicon-On-Insulator (FDSOI) 28nm technology to enhance the performance of CDR circuits by mitigating short-channel effects through innovative transistor structures.One contribution of this thesis is the development of a negative resistance circuit using the back gate of the FDSOI transistor. This circuit employs a current mirror controlled by the back gate to create a negative resistance LC oscillator. In parallel, this work presents the implementation of two types of oscillators: a complementary ring oscillator and a fast ring oscillator. The complementary ring oscillator capitalizes on complementary inverters, offering automatic bias feedback by the back gate control, thereby enhancing its performance. Meanwhile, the fast ring oscillator uses fast inverters in combination with complementary inverters designed to minimize propagation delays. The thesis presents a detailed comparative analysis of these oscillators, highlighting their individual strengths and limitations. Furthermore, we introduce an injection signal into the ring oscillator, resulting in the creation of a low-jitter Injection-Locked Oscillator (ILO). This ILO exhibits remarkable performance characteristics, particularly in reducing phase noise and enhancing frequency stability. Taking advantage of the good performance of the ILO, we propose a novel low-cost and low-power Injection-Locked Clock and Data Recovery (ILCDR) with a fast-locking time and good jitter for burst-mode applications.To validate the proposed designs and their performance at different operational frequencies, extensive simulations have been carried out using Cadence Virtuoso at 868 MHz and 2.4 GHz. In addition, the layout design and post layout simulation of the ILCDR based on the complementary ring oscillator are also studied
Hai, Joycelyn. "fiabilité rf en technologie soi cmos : modélisation et application à un amplificateur de puissance". Electronic Thesis or Diss., Université Grenoble Alpes, 2024. http://www.theses.fr/2024GRALT033.
Texto completoThe development of SOI CMOS technology has greatly contributed to the rapid evolution of RF/mmW communication systems which play a critical role in the deployment of 5G networks. To meet the performance targets of 5G specifications, complex modulation schemes use high peak-to-average-power (PAPR) levels that are generated by the power amplifier (PA). The high-power levels, in turn, impact the device reliability due to the voltage handling limits of modern CMOS technology. At early design stages, accurate aging models can be leveraged to assess the trade-off between performance and reliability in consideration of the targeted RF mission profile. The two dominant CMOS reliability mechanisms found in RF PA mission profiles are hot-carrier injection (HCI) and off-state time-dependent dielectric breakdown (off-TDDB). The first part of this thesis aims to consolidate the HCI aging model using well-established RF/mmW aging methodology by performing model-to-hardware correlation (MHC) at accelerated DC and 28GHz RF stress conditions for different PA cell topologies. The MHC, validated for fresh and degraded PA device, is then used to perform a simulation-based sensitivity analysis to evaluate the impact of different model card parameters on the accuracy of RF HCI modeling. The results showed that both fresh and degradation model precision affects the RF degradation estimation, which highlights the significance of a degradation model described by physical effects of the device. The second part of this thesis focuses on the validity of RF modeling approach for off-state reliability (HCI degradation and TDDB). An integrated test structure generating off-state RF stress waveforms at DC, 500MHz and 1GHz to evaluate the frequency dependence in off-state reliability modeling has been designed. Time-power law parametric degradation has been observed in DC and RF (500MHz and 1GHz) off-state HCI stress measurements, suggesting the validity of quasi-static modeling approach for off-state HCI degradation. On the other hand, off-state RF TDDB characterization demonstrate increasing time-to-breakdown with increasing frequency, in particularly a gain factor of x2 at 1GHz compared to DC TDDB. This study was then extended to on and off-state RF HCI stress sequences revealing negligible interaction between the two degradation mechanisms, resulting in an additive degradation modeling approach. The last part of this thesis provides proof of concept to demonstrate aging compensation of a 28GHz RF PA. This is done by implementing the design of a negative feedback loop for on-chip adaptive body bias control in FDSOI technology which partially compensates the threshold voltage drift induced by RF HCI stress
Mamgain, Ankush. "Génération sur puce de signaux sinusoïdaux à hautes fréquences en utilisant des techniques d'annulation d'harmoniques". Electronic Thesis or Diss., Université Grenoble Alpes, 2024. http://www.theses.fr/2024GRALT024.
Texto completoBuilt-in self-test (BIST) techniques play an important role in Analog, Mixed-signal, and RF (AMS-RF) circuits so that the yield in advanced nanometric processes can be improved. These circuits replace highly sophisticated and expensive AMS-RF testers. The stimuli generator is one of the important blocks in AMS-RF BIST circuits. In particular, many analog-RF tests require a high-quality sinusoidal signal as test stimuli. The focus of this thesis is to understand the challenges of generating a sinusoidal signal in GHz range and mitigating these challenges using the harmonic cancellation principle. In harmonic cancellation principle, a set of time-shifted periodic signals are scaled and added. In this process, harmonics of the periodic signal are cancelled and the fundamental frequency is retained at the output. Particularly in this case, a signal generator that can cancel the harmonics below the 11th harmonic. Despite its efficiency, this technique is highly susceptible to performance degradation due to mismatch and process variations. These variations affect time-shift and the duty cycle (also called timing inaccuracies) of the signal, particularly in high-frequency applications where precise control becomes increasingly challenging. To address this, a novel calibration architecture employs a coarse-fine delay cell mechanism, which effectively mitigates the impact of timing inaccuracies. One of the proposed solutions was fabricated using ST 28-nm FDSOI technology and validated. The measurement results show an SFDR greater than 60dBc for frequencies greater than 1 GHz after optimization, illustrating the potential of our architecture in enhancing the reliability and effectiveness of on-chip sinusoidal signal generation for AMS-RF integrated circuits
Jaffal, Moustapha. "Développement de Dépôt Sélectif Topographique 3D par combinaison de procédés PE(ALD) et ALE en microélectronique". Electronic Thesis or Diss., Université Grenoble Alpes, 2024. http://www.theses.fr/2024GRALT046.
Texto completoOver the past decades, the semiconductor industry has witnessed a remarkable increase in the performance of integrated circuits. Photolithography, a crucial process in the manufacturing of integrated circuits, requires an increasingly complex sequence of steps, including various successive treatments such as Self-Aligned Double Patterning (SADP) and Self-Aligned Quadruple Patterning (SAQP). Beyond their complexity and the associated cost escalation, patterning steps can result in alignment errors, known as Edge Placement Error (EPE), which can impact the proper functioning of devices such as transistors. The objective of this thesis is to develop a novel topographical selective deposition (TSD) process using a "Deposition/Etching" super-cycle approach. The advantages of this TSD process include the lateral and direct formation of spacers on the sidewalls of 3D architectures, such as CMOS transistor gates at the nanoscale. This innovative manufacturing approach paves the way for reducing the number of steps and equipment required in the fabrication process, minimizing the potential EPE introduced by photolithography. Consequently, it offers the opportunity to reduce the consumption of horizontal surfaces in 3D transistors, a critical factor in the integration of advanced technological nodes during spacer creation. This work offers a proof of concept of the TSD deposition, using a super-cycle approach that alternates between a conformal deposition process by PE(ALD) and various anisotropic plasma etching processes in the same tool. This approach leverages the physical and chemical properties of plasma interactions with materials
Mhira, Souhir. "Méthodes innovantes de gestion statique et dynamique de la fiabilité électrique des circuits CMOS M40 et 28FD sous conditions réelles d'utilisation (HTOL)". Electronic Thesis or Diss., Aix-Marseille, 2018. http://www.theses.fr/2018AIXM0129.
Texto completoThis thesis deals with the design and testing of the first self-adaptive nanoscale CMOS circuits dedicated to automotive, avionics and aerospace applications, under high stress environment because they are subject to the trade-off between speed (performance), consumption (Low Power) and aging (Wearout). Innovative solutions have been developed with dynamic control loops to optimize the consumption of the various elements (design level) and blocks (system), while ensuring their smooth operation. Validation of solutions has been achieved step by step in the design chain, focusing first on the development of a first demonstrator in 40nm CMOS (M40) technology for automotive applications from STMicroelectronics. Various ways of anticipating errors were compared by retaining the IS2M (adjustable time window) delay detection in critical paths as the most efficient for optimization solutions. A theoretical modeling of the control loops has resulted in a simulation tool based on time discrete Markov chains (DTMC). This modeling was successfully confronted with silicon measurements demonstrating that the solutions selected offered a reduction in the power consumed by 2 with equal performance and reliability. In the last part, the high-level hierarchical modeling was applied on several systems / products of 28nm FDSOI CMOS nodes (28FD), in order to validate the relevance of the dynamic adaptation (D-ABB) in supply and face voltages. (VDD, VB). This allowed to prove the validity of the complete methodology by arriving at the precise statistical prediction of the reliability integrating the whole performance-consumption value chain using the advanced simulations
Mahmoud, Doaa. "Convertisseur analogique-numérique de type Sigma-Delta Passe-Bande avec résonateurs à un et deux amplificateurs". Electronic Thesis or Diss., Sorbonne université, 2021. http://www.theses.fr/2021SORUS288.
Texto completoSoftware defined radio receiver is a promising technique for future receivers which provides a variety of protocols. It digitizes the RF signal directly to low-frequency. We propose an SDR receiver based on a bandpass sigma delta modulator. The most essential element is the loop filter, there are two main configurations, an LC tank resonator and an active RC resonator. We focus on the active RC resonators for a low chip area. We target applications in the vicinity of 400 MHz, namely Advanced Research and Global Observation Satellite, Medical Implant Communication Service. We introduce a new comparison between the two-op-amp resonator CT BP sigma delta modulator and the one-op-amp resonator CT BP sigma delta modulator. We study the sensitivity of the quality factor and the signal to noise ratio to the DC-gain op-amps in two-op-amp resonator sigma delta modulator. It also shows how, in one-op-amp resonator sigma delta modulator, the quality factor and the signal to noise ratio, are very sensitive to any variations in the capacitors values for limited DC-gain op-amps. We establish a mathematical model of the thermal-noise behaviour for two-op-amp resonator CT BP sigma delta modulator. This model matches the circuit simulator results with a good accuracy. Furthermore, we demonstrate that a high quality factor (>100) of the two-op-amp resonators can be achieved by selecting the proper value of the integrator gain at a moderate DC-gain op-amp (35dB). Both sigma delta modulators are designed using flipped-well devices on fully depleted silicon on insulator technology, where we use body biasing to compensate the process, voltage and temperature variations