Artículos de revistas sobre el tema "Dry etch"

Siga este enlace para ver otros tipos de publicaciones sobre el tema: Dry etch.

Crea una cita precisa en los estilos APA, MLA, Chicago, Harvard y otros

Elija tipo de fuente:

Consulte los 50 mejores artículos de revistas para su investigación sobre el tema "Dry etch".

Junto a cada fuente en la lista de referencias hay un botón "Agregar a la bibliografía". Pulsa este botón, y generaremos automáticamente la referencia bibliográfica para la obra elegida en el estilo de cita que necesites: APA, MLA, Harvard, Vancouver, Chicago, etc.

También puede descargar el texto completo de la publicación académica en formato pdf y leer en línea su resumen siempre que esté disponible en los metadatos.

Explore artículos de revistas sobre una amplia variedad de disciplinas y organice su bibliografía correctamente.

1

Lee, Jong Seok, Geun Min Choi, Ji Nok Jung, Dong Duk Lee, Gin Yung Hur, Jai Ho Lee, Che Hyuk Chi y Dae Hee Gimm. "Development of a Integrated Dry/Wet Hybrid Cleaning System". Solid State Phenomena 195 (diciembre de 2012): 21–24. http://dx.doi.org/10.4028/www.scientific.net/ssp.195.21.

Texto completo
Resumen
With scaling of ULSI devices, the process temperatures are continuously lowered. The oxide films, which were deposited at low temperature, show fast etching rates during wet etching compared to high temperature films. Also, the etch rates differ largely from other film deposition conditions. In order to overcome these etch rate differences during surface preparation, dry cleaning processes had been introduced where the etch selectivity of the soft oxide films to the thermal oxide are very similar, regardless of the film deposition conditions and the deposition temperature.
Los estilos APA, Harvard, Vancouver, ISO, etc.
2

Castro, Marcelo S. B., Sebastien Barnola y Barbara Glück. "Selective and Anisotropic Dry Etching of Ge over Si". Journal of Integrated Circuits and Systems 8, n.º 2 (28 de diciembre de 2013): 104–9. http://dx.doi.org/10.29292/jics.v8i2.380.

Texto completo
Resumen
Inductively coupled plasma (ICP) etching of Ge with high selectivity over Si and anisotropic etched profiles using CF4, HBr, SF6, and Cl2 reactive gases has been studied. Because pressure and biased power should be the most important parameters to drive selectivity and etch profile, they were varied from 4 to 50 mTorr and from 0 to 50 W, respectively, so as to investigate their influence on process. Total gas flow (100 sccm) and source power (350 W) were initially held constant. Selectivity greater than 100:1 of Ge over Si was achieved using 100 % Cl2 etch gas at 50 mTorr and zero bias power but the profile of the etched features was isotropic. With the addition of N2 to the feed gas (Cl2) the profile became more anisotropic. A three steps ICP etch process was developed with a final Ge/Si etch selectivity of 5:1 and anisotropic profiles.
Los estilos APA, Harvard, Vancouver, ISO, etc.
3

PARK, JONG CHEON, JIN KON KIM, TAE GYU KIM, DEUG WOO LEE, HYUN CHO, HYE SUNG KIM, SU JONG YOON y YEON-GIL JUNG. "DRY ETCHING OF SnO2 AND ZnO FILMS IN HALOGEN-BASED INDUCTIVELY COUPLED PLASMAS". International Journal of Modern Physics B 25, n.º 31 (20 de diciembre de 2011): 4237–40. http://dx.doi.org/10.1142/s0217979211066660.

Texto completo
Resumen
High density plasma etching of SnO 2 and ZnO films was performed in chlorine- ( Cl 2/ Ar and BCl 3/ Ar ) and fluorine-based ( CF 4/ Ar and SF 6/ Ar ) inductively coupled plasmas. The etch process window for fabricating metal oxide nanowires with high aspect ratios including high and controllable etch rates, high etch selectivities to mask material and high anisotropy was established. Maximum etch rates of ~2050 Å/minute ( BCl 3/ Ar ) and ~1950 Å/minute ( SF 6/ Ar ) for ZnO , and ~1950 Å/minute ( Cl 2/ Ar ) and ~2000 Å/minute ( SF 6/ Ar ) for SnO 2 were obtained. Ni was found to provide very high etch selectivities with maximum values of ~67 to SnO 2 and ~17 to ZnO , respectively.
Los estilos APA, Harvard, Vancouver, ISO, etc.
4

Lenzi, Tathiane Larissa, Fabio Zovico Maxnuck Soares y Rachel de Oliveira Rocha. "Does Bonding Approach Influence the Bond Strength of Universal Adhesive to Dentin of Primary Teeth?" Journal of Clinical Pediatric Dentistry 41, n.º 3 (1 de enero de 2017): 214–18. http://dx.doi.org/10.17796/1053-4628-41.3.214.

Texto completo
Resumen
Objective: To evaluate the effect of bonding strategy on microtensile bond strength (μTBS) of a new universal adhesive system to primary tooth dentin. Study design: Flat dentin surfaces from 25 primary molars were assigned to 5 groups according to the adhesive and bonding approach: Adper Single Bond 2 (two-step etch-and-rinse adhesive) and Clearfil SE Bond (two-step self-etch system), as controls; Scotchbond Universal Adhesive–self-etch, dry or wet-bonding etch-and-rinse strategies. Composite buildups were constructed and the teeth were sectioned to obtain bonded sticks (0.8 mm2) to be tested under tension at 1mm/min. The μTBS means were analyzed by one-way ANOVA and Tukey's tests (α = 0.05). Failure mode was evaluated using a stereomicroscope (400×). Results: Universal adhesive applied following both dry and wet-bonding etch-and-rinse strategies showed similar bond strength compared with control adhesive systems. Self-etch approach resulted in the lowest μTBS values. For all groups, adhesive/mixed failure prevailed. The percentage of premature debonded specimens was higher when the universal adhesive was used as self-etch mode. Conclusion: The universal adhesive does not share the same versatility of being used in the etch-and-rinse and self-etch approaches; however, the use of the new adhesive following either wet or dry-bonding may be a suitable option as alternative to two-step etch-and-rinse adhesive protocol.
Los estilos APA, Harvard, Vancouver, ISO, etc.
5

Szweda, Roy. "Dry etch processes for optoelectronic devices". III-Vs Review 14, n.º 1 (enero de 2001): 42–47. http://dx.doi.org/10.1016/s0961-1290(01)89007-4.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
6

Chiang, Chao-Ching, Xinyi Xia, Jian-Sian Li, Fan Ren y Stephen J. Pearton. "Selective Wet and Dry Etching of NiO over β-Ga2O3". ECS Transactions 111, n.º 2 (19 de mayo de 2023): 73–83. http://dx.doi.org/10.1149/11102.0073ecst.

Texto completo
Resumen
Patterning of NiO/Ga2O3 heterojunctions requires the development of selective wet and dry etch processes. Solutions of 1:4 HNO3 :H2O exhibited measurable etch rates for NiO above 40 °C and activation energy for wet etching of 172.9 kJ.mol-1 (41.3 kCal.mol-1, 1.8 eV/atom), which is firmly in the reaction-limited regime. The selectivity over β-Ga2O3 was infinite for temperatures up to 55°C. The strong negative enthalpy for producing the etch product Ga(OH)4 suggests that HNO3-based wet etching of NiO occurs via the formation and dissolution of hydroxides. For dry etching, Cl2/Ar Inductively Coupled Plasmas produced etch rates for NiO up to 800Å.min-1, with maximum selectivities of < 1 over β-Ga2O3. The ion energy threshold for initiation of the etching of NiO was ~55 eV and the etch mechanism was ion-driven, as determined by the linear dependence of etch rate on the square root of ion energy incident on the surface.
Los estilos APA, Harvard, Vancouver, ISO, etc.
7

Altamirano-Sanchez, Efrain, Yoko Yamaguchi, Jeffrey Lindain, Naoto Horiguchi, Monique Ercken, Marc Demand y Werner Boullart. "Dry Etch Fin Patterning of a Sub-22nm Node SRAM Cell: EUV Lithography New Dry Etch Challenges". ECS Transactions 34, n.º 1 (16 de diciembre de 2019): 377–82. http://dx.doi.org/10.1149/1.3567607.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
8

Farrow, Woodrow D. y Jay Richman. "Summary Abstract: Advanced dry etch processing with a DRY pump". Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 6, n.º 3 (mayo de 1988): 1263. http://dx.doi.org/10.1116/1.575686.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
9

Heidenblut, Maria, D. Sturm, Alfred Lechner y Franz Faupel. "Characterization of Post Etch Residues Depending on Resist Removal Processes after Aluminum Etch". Solid State Phenomena 145-146 (enero de 2009): 349–52. http://dx.doi.org/10.4028/www.scientific.net/ssp.145-146.349.

Texto completo
Resumen
The subject of this report is the characterization of plasma etch residues after a metal etch process with Cl2/BCl3 etch gases. One of the interactive factors in the removability of the residues is the photo-mask removal process (DSQ). Depending on the DSQ process the molecular structure of the residues will differ. For our findings, we used laser spectroscopy and Fourier-transformed infrared spectroscopy to obtain information about the degree of the cross-linking of the molecular structure of residues in a post-metal etch cleaning process. The post-etch cleaning is important for removing residues remaining after the metal structuring process. The main goal is to use emission spectroscopy for studying the compounds of the dry-etch related residues. Finally, it was shown that small variations in wafer treatment directly after dry-etching results in different solubilities of residues in HDA (hydroxylamine) based solutions. [1]
Los estilos APA, Harvard, Vancouver, ISO, etc.
10

Kang, In Ho, Wook Bahng, Sung Jae Joo, Sang Cheol Kim y Nam Kyun Kim. "Post Annealing Etch Process for Improved Reverse Characteristics of 4H-SiC Diode". Materials Science Forum 615-617 (marzo de 2009): 663–66. http://dx.doi.org/10.4028/www.scientific.net/msf.615-617.663.

Texto completo
Resumen
The effects of post annealing etch process on electrical performances of a 4H-SiC Schottky diodes without any edge termination were investigated. The post etch was carried out using various dry the dry etch techniques such as Inductively Coupled Plasma (ICP) and Neutral Beam Etch (NBE) in order to eliminate suspicious surface damages occurring during a high temperature ion activation process. The leakage current of diodes treated by NBE measured at -100V was about one order lower than that of diode without post etch and a half times lower than that of diode treated by ICP without a significant degradation of forward electrical characteristics. Based on the above results, the post annealing process was adapted to a junction barrier Schottky diode with a field limiting ring. The blocking voltages of diode without post annealing etch and diodes treated by ICP and NBE were -1038V, -1125V, and -1595V, respectively.
Los estilos APA, Harvard, Vancouver, ISO, etc.
11

Sung, Da In, Hyun Woo Tak, Dong Woo Kim y Geun Young Yeom. "A comparative study of Cx(x = 4, 5, 7)F8 plasmas for dry etch processing". Materials Express 10, n.º 6 (1 de junio de 2020): 903–8. http://dx.doi.org/10.1166/mex.2020.1776.

Texto completo
Resumen
In this study, the SiO2 etch characteristics of perfluorocarbon such as C4F8, C5F8, and C7F8 were investigated using inductively coupled plasmas (ICPs) to study the effect of a high C/F ratio on the etch characteristics of SiO2 for the ICP. The SiO2 rates and etch selectivities over Si3N4 and amorphous carbon layer (ACL) were measured by using the mixtures of Cx(x = 4, 5, 7)F8/Ar/O2. The higher C/F ratio of perfluorocarbon showed lower SiO2 etch rate but exhibited higher etch selectivities over Si3N4 and ACL due to the higher C2 while keeping the similar F in the plasma as observed by optical emission spectroscopy and due to the thicker fluorocarbon layer with more carbon-rich fluorocarbon on the materials surface as observed by X-ray photoelectron spectroscopy. Especially, C7F8 is environmentally benign material because it not only has a relatively low global warming potential but also can be captured easily using a capture system (a liquid state at the room temperature). Therefore, C7F8 could be applicable as one of the next generation perfluorocarbon etching materials.
Los estilos APA, Harvard, Vancouver, ISO, etc.
12

Pelka, J., K. P. Muller y H. Mader. "Simulation of dry etch processes by COMPOSITE". IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 7, n.º 2 (1988): 154–59. http://dx.doi.org/10.1109/43.3144.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
13

Rahman, M. "Channeling and diffusion in dry-etch damage". Journal of Applied Physics 82, n.º 5 (septiembre de 1997): 2215–24. http://dx.doi.org/10.1063/1.366028.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
14

Shul, R. J., G. B. McClellan, S. J. Pearton, C. R. Abernathy, C. Constantine y C. Barratt. "Comparison of dry etch techniques for GaN". Electronics Letters 32, n.º 15 (1996): 1408. http://dx.doi.org/10.1049/el:19960943.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
15

Norasetthekul, S., P. Y. Park, K. H. Baik, K. P. Lee, J. H. Shin, B. S. Jeong, V. Shishodia, E. S. Lambers, D. P. Norton y S. J. Pearton. "Dry etch chemistries for TiO2 thin films". Applied Surface Science 185, n.º 1-2 (diciembre de 2001): 27–33. http://dx.doi.org/10.1016/s0169-4332(01)00562-1.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
16

McDaniel, G., J. W. Lee, E. S. Lambers, S. J. Pearton, P. H. Holloway, F. Ren, J. M. Grow, M. Bhaskaran y R. G. Wilson. "Comparison of dry etch chemistries for SiC". Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 15, n.º 3 (mayo de 1997): 885–89. http://dx.doi.org/10.1116/1.580726.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
17

Hu, Evelyn L. y Ching-Hui Chen. "Dry etch damage in III–V semiconductors". Microelectronic Engineering 35, n.º 1-4 (febrero de 1997): 23–28. http://dx.doi.org/10.1016/s0167-9317(96)00123-2.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
18

Hussain, Muhammad Mustafa, Gabriel Gebara, Barry Sassman, Sidi Lanee y Larry Larson. "Highly selective isotropic dry etch based nanofabrication". Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures 25, n.º 4 (2007): 1416. http://dx.doi.org/10.1116/1.2756544.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
19

Zhu, Tongtong, Petros Argyrakis, Enrico Mastropaolo, Kin Kiong Lee y Rebecca Cheung. "Dry etch release processes for micromachining applications". Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures 25, n.º 6 (2007): 2553. http://dx.doi.org/10.1116/1.2794074.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
20

Morshed, Muhammad M. y Stephen M. Daniels. "Investigation of Dry Plasma Etching of Silicon". Advanced Materials Research 83-86 (diciembre de 2009): 1051–58. http://dx.doi.org/10.4028/www.scientific.net/amr.83-86.1051.

Texto completo
Resumen
Meso-scale structures are formed on a silicon surface using a sulphur hexafluoride (SF6) based dry etching process. Etched feature parameters, including etch rate, trench profile, and selectivity are explored using an optical emission spectroscopy and a resonance hairpin probe. With increasing process power, the etch rate was observed to increase, which was correlated with an observed increase in intensity of fluorine emission. Damage of the photoresist with increasing power was observed and a marked increase in hydrogen (H) emission was found to indicate this fault. The electron density and the sidewall roughness were also found to increase with higher reactor power. The e-SF6 collisions contribute to the production of atomic fluorine, which etches the silicon by the dissociative ionization (SF+5 and F or SF+3 and F) and electron impact dissociation (SF5 and F).
Los estilos APA, Harvard, Vancouver, ISO, etc.
21

Cho, Yoon Jae, Su Myung Ha y Chee Won Chung. "Effect of Thickness and Sidewall Slope of Photoresist Mask on Etch Profile of Copper Interconnect". ECS Meeting Abstracts MA2024-01, n.º 30 (9 de agosto de 2024): 1517. http://dx.doi.org/10.1149/ma2024-01301517mtgabs.

Texto completo
Resumen
We performed high density plasma reactive ion etching of copper thin films using organic gas mixture to define fine patterns less than 10 μm for the application of display devices. However, since redeposition in dry etching of copper thin films frequently occurs due to extremely low reactivity, the etch variables which affect the formation of redeposition were investigated. In this study, the photoresist (PR) masks were employed to etch copper thin films. The effects of the thickness of PR and the sidewall slope of PR on etch profile and redeposition were examined. The thickness of PR mask were in the range of 3 μm ~ 1 μm and the sidewall slopes of the PR mask were in the range of 90° ~ 45°. The etch profile and etch mechanism of dry etching using different masks were evaluated using field emission scanning electron microscopy and X-ray photoelectron spectroscopy. Finally, an etching method to reduce and/or avoid the redeposition in dry etching of copper thin films will be proposed. Acknowledgement : This research was supported by the MOTIE(Ministry of Trade, Industry & Energy (20019504) and KSRC(Korea Semiconductor Research Consortium) support program for the development of the future semiconductor device.
Los estilos APA, Harvard, Vancouver, ISO, etc.
22

Adesida, I., C. Youtsey, A. T. Ping, F. Khan, L. T. Romano y G. Bulman*. "Dry and Wet Etching for Group III – Nitrides". MRS Internet Journal of Nitride Semiconductor Research 4, S1 (1999): 38–48. http://dx.doi.org/10.1557/s1092578300002222.

Texto completo
Resumen
The group-III nitrides have become versatile semiconductors for short wavelength emitters, high temperature microwave transistors, photodetectors, and field emission tips. The processing of these materials is significant due to the unusually high bond energies that they possess. The dry and wet etching methods developed for these materials over the last few years are reviewed. High etch rates and highly anisotropic profiles obtained by inductively-coupled-plasma reactive ion etching are presented. Photoenhanced wet etching provides an alternative path to obtaining high etch rates without ion-induced damage. This method is shown to be suitable for device fabrication as well as for the estimation of dislocation densities in n-GaN. This has the potential of developing into a method for rapid evaluation of materials.
Los estilos APA, Harvard, Vancouver, ISO, etc.
23

Guo, Ted, Wesley Yu, C. C. Chien, Euing Lin, N. H. Yang, J. F. Lin, J. Y. Wu et al. "Single Wafer Selective Silicon Nitride Removal with Phosphoric Acid and Steam". Solid State Phenomena 219 (septiembre de 2014): 97–100. http://dx.doi.org/10.4028/www.scientific.net/ssp.219.97.

Texto completo
Resumen
A single wafer silicon nitride (SiN) selective etch process with an etch rate greater than 80A/min of low-pressure chemical vapor deposited (LPCVD) SiN has been developed. Previous work with a similar single wafer system utilized a mixture of sulfuric acid, phosphoric acid and steam to achieve a high SiN etch rate [1]. The process in this work relies on phosphoric acid and steam for a high SiN etch rate. In both of these applications, addition of steam doubles the SiN etch rate. The single wafer system utilizes a closed chamber design with integrated spray bar to uniformly dispense hot phosphoric acid and steam onto the wafer surface achieving within wafer non-uniformities of less than 3%. Rinsing and drying of the phosphoric acid from the wafer surface occurs in the same chamber (dry in/dry out) providing a stable, haze free wafer. Figure 1 contains a schematic of the phosphoric acid delivery and single wafer system.
Los estilos APA, Harvard, Vancouver, ISO, etc.
24

Yoon, Ho-Won, Seung-Min Shin, Seong-Yong Kwon, Hyun-Min Cho, Sang-Gab Kim y Mun-Pyo Hong. "One-Step Etching Characteristics of ITO/Ag/ITO Multilayered Electrode in High-Density and High-Electron-Temperature Plasma". Materials 14, n.º 8 (17 de abril de 2021): 2025. http://dx.doi.org/10.3390/ma14082025.

Texto completo
Resumen
This paper presents the dry etching characteristics of indium tin oxide (ITO)/Ag/ITO multilayered thin film, used as a pixel electrode in a high-resolution active-matrix organic light-emitting diode (AMOLED) device. Dry etching was performed using a combination of H2 and HCl gases in a reactive ion etching system with a remote electron cyclotron resonance (ECR) plasma source, in order to achieve high electron temperature. The effect of the gas ratio (H2/HCl) was closely observed, in order to achieve an optimal etch profile and an effective etch process, while other parameters—such as the radio frequency (RF) power, ECR power, chamber pressure, and temperature—were fixed. The optimized process, with an appropriate gas ratio, constitutes a one-step serial dry etch solution for ITO and Ag multilayered thin films.
Los estilos APA, Harvard, Vancouver, ISO, etc.
25

Xu, Ya-dong, Zhao-jian Wu, Meng-xiang Sun, Fu-gang Zhang y Zhen-yu Wang. "P‐40: TFT‐LCD a‐Si Wet Etch Technology". SID Symposium Digest of Technical Papers 54, n.º 1 (junio de 2023): 1462–65. http://dx.doi.org/10.1002/sdtp.16864.

Texto completo
Resumen
In this paper, we investigate a technology to change the method and principle of n+ a‐Si:H etching from conventional dry etch to the innovative wet etch in TFT LCD fabrication. The technology is based on the development of a new wet metal etching solution and the design of the thinnest film thickness of a‐Si:H in the industry, combined with the etching process development, to achieve the etching of two different film layers of metal and N+ a‐Si:H in the back channel of TFT switches in one‐ step(Abbreviated as NW process). The process can effectively improve the capacity of Dry Etch process (Skip N+ Dry Etch); improve the uniformity of back channel a‐Si:H Remain (16.3%‐ >7.6% ); improve product characteristics (Dark I on( TFT working current) 5.5‐>6.0); improve yield (Yield rate↑0.3%).The process has been successfully developed for the first time in Wuhan BOE and has been widely used for batch products, meanwhile, the process has been extended to Hefei BOE and Fuzhou BOE, and other BOE line sites are also actively exploring the experiment.
Los estilos APA, Harvard, Vancouver, ISO, etc.
26

Jiang, Li Li, Shi Xing Jia y J. Zhu. "The Oxygen Plasma Dry Release Process of the Membrane Bridge of RF MEMS Switches". Key Engineering Materials 562-565 (julio de 2013): 1238–41. http://dx.doi.org/10.4028/www.scientific.net/kem.562-565.1238.

Texto completo
Resumen
In this paper the oxygen plasma dry release process for membrane-bridge RF MEMS switches is studied and several methods are used to improve the dry release process. The residual PR (Photoresist) on the device substrate after different process time are observed and measured in this paper. The measured data shows that the residual PR exponentially reduces with etch time. It is found that the residual PR on the bottom surface of the membrane bridge is more than that on the substrate. The completely released RF MEMS switch using oxygen plasma dry etch process is obtained.
Los estilos APA, Harvard, Vancouver, ISO, etc.
27

Zhong, Zhi Qin, Cheng Tao Yang, Guo Jun Zhang, Shu Ya Wang y Li Ping Dai. "Inductively Coupled Plasma Etching of Pt/Ti Electrodes in Cl-Based Plasma". Advanced Materials Research 721 (julio de 2013): 346–49. http://dx.doi.org/10.4028/www.scientific.net/amr.721.346.

Texto completo
Resumen
Dry etching of Pt/Ti film was carried out using Cl2/Ar plasmas in an inductively coupled plasma (ICP) reactor. The influence of the various process parameters, such as RIE power, ICP power and Cl2/Ar gas mixing ratio, on the etch rate and selectivity of photoresist to Pt/Ti film were investigated systematically and optimized. It was revealed that the etch rate and the selectivity strongly depended on the key process parameters. The etch rate was found to increase dramatically with increasing of RIE power and ICP power. But by changing the ratio of Cl2 to the total gas, the maximum etch rate could be obtained at the proper ratio of 20%. The results also indicated too low or too high RIE power and the Cl2 ratio was detrimental to the selectivity. The optimized parameters of Pt/Ti dry etching for high etch rate and low selectivity of photoresist to Pt/Ti were obtained to be pressure: 10mT, RF power: 250W, ICP power: 0W, Cl2: 8sccm (standard cubic centimeters per minute), Ar: 32sccm.
Los estilos APA, Harvard, Vancouver, ISO, etc.
28

Saeki, H., A. Shigetomi, Y. Watakabe y T. Kato. "High Sensitivity, Dry‐Etch‐Resistant Negative EB Resist". Journal of The Electrochemical Society 133, n.º 6 (1 de junio de 1986): 1236–39. http://dx.doi.org/10.1149/1.2108825.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
29

Pearton, S. J., J. W. Lee, J. M. Grow, M. Bhaskaran y F. Ren. "Thermal stability of dry etch damage in SiC". Applied Physics Letters 68, n.º 21 (20 de mayo de 1996): 2987–89. http://dx.doi.org/10.1063/1.116672.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
30

Pearton, S. J., J. W. Lee, J. D. MacKenzie, C. R. Abernathy y R. J. Shul. "Dry etch damage in InN, InGaN, and InAlN". Applied Physics Letters 67, n.º 16 (16 de octubre de 1995): 2329–31. http://dx.doi.org/10.1063/1.114334.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
31

Pearton, S. J., U. K. Chakrabarti, F. Ren, C. R. Abernathy, A. Katz, W. S. Hobson y C. Constantine. "New dry-etch chemistries for III–V semiconductors". Materials Science and Engineering: B 25, n.º 2-3 (julio de 1994): 179–85. http://dx.doi.org/10.1016/0921-5107(94)90222-4.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
32

Tang, Chen, Atsushi Sekiguchi, Yosuke Ohta, Yoshihiko Hirai y Masaaki Yasuda. "Surface property control for 193 nm immersion resist by addition of Si compound". Journal of Vacuum Science & Technology B 41, n.º 1 (enero de 2023): 012602. http://dx.doi.org/10.1116/6.0002128.

Texto completo
Resumen
In ArF immersion lithography, the presence of immersion liquid between the resist surface and the lens causes problems, such as the leaching of the photoacid generator into the liquid and the presence of residual liquid on the resist surface, which can result in watermarks and other defects. One method to address such issues is adding an F-based compound with low dry-etch resistance to the resist. In the present study, we developed a novel resist for ArF immersion exposure that replaces the F compound with an Si (dimethylpolysiloxane)-based additive to enhance dry-etch resistance. We experimentally evaluated contact angles with respect to water and developer solution, depth concentration of the additives (segregation), agent dissolution (leaching), dry-etch resistance, and spectral transmittance of the comparative resists. Simulation studies were performed to evaluate pattern profiles. The developed resist with the Si-based additive showed improved properties compared with that with the F-based additive.
Los estilos APA, Harvard, Vancouver, ISO, etc.
33

Ahmad, Habib, Zachary Engel, Muneeb Zia, Alex S. Weidenbach, Christopher M. Matthews, Bill Zivasatienraj, Muhannad S. Bakir y W. Alan Doolittle. "Cascaded Ni hard mask to create chlorine-based ICP dry etched deep mesas for high-power devices". Semiconductor Science and Technology 36, n.º 12 (12 de noviembre de 2021): 125016. http://dx.doi.org/10.1088/1361-6641/ac3372.

Texto completo
Resumen
Abstract A highly selective cascaded Ni hard mask without stress and film damage issues suitable for the formation of deep vertical mesas for high-power devices is presented. A Cl2/BCl3/Ar inductively coupled plasma dry etch was used to investigate the effect of photoresist, and patterned Ni hard masks applied via four different methods on the etch rate of GaN, and mask selectivity. Strain in the e-beam only deposited Ni films produced low yield due to poor adhesion. Electroplated Ni showed rough mask morphology. Sputtered Ni left damage/chemically reacted layers on the GaN under the mask. A cascaded 50 nm e-beam evaporated Ni followed by 2 µm of sputtered Ni mask method improved the yield to 100%, achieved high selectivity and retained an undamaged GaN surface morphology. The cascaded Ni hard mask successfully achieved GaN mesas up to 13 µm. The dry etching rates of the photoresist, GaN and Ni masks were 250, 275 and 13 nm min−1, respectively giving an etch selectivity of the GaN/Ni hard mask of ∼20, much higher than the etch selectivity of 1.1 for the photoresist. Furthermore, yield of the cascaded Ni hard mask was 100% making it suitable for commercial high-power electronics.
Los estilos APA, Harvard, Vancouver, ISO, etc.
34

Bai, Chuannan, Eugene Shalyt, Guang Liang y Peter Bratin. "Monitoring of Wet Etch for Wafer Thinning and Via Reveal Process". International Symposium on Microelectronics 2013, n.º 1 (1 de enero de 2013): 000008–12. http://dx.doi.org/10.4071/isom-2013-ta13.

Texto completo
Resumen
TSV (Through Silicon Vias) are usually formed and deposited as blind vias. As a last stage, vias are opened by thinning of the back side of the wafer. While the bulk of the silicon can be removed by both wet and dry methods, the final step of the “Via Reveal” process is predominantly performed by wet etch. Two commonly used types of etching solutions are anisotropic alkaline etch (KOH, TMAH, etc.) and isotropic etch (HF/HNO3, etc.). Etch rate, uniformity, and product characteristics strongly depend on the composition of solution: both original compounds and reaction products. This presentation describes different approaches for process control of both alkaline and acidic etch solutions using advanced spectroscopic models and potentiometry. Pros and cons of different approaches are discussed. Specific emphasis is placed on the monitoring of reaction products.
Los estilos APA, Harvard, Vancouver, ISO, etc.
35

Kleinschmidt, Ann-Kathrin, Lars Barzen, Johannes Strassner, Christoph Doering, Henning Fouckhardt, Wolfgang Bock, Michael Wahl y Michael Kopnarski. "Precise in situ etch depth control of multilayered III−V semiconductor samples with reflectance anisotropy spectroscopy (RAS) equipment". Beilstein Journal of Nanotechnology 7 (21 de noviembre de 2016): 1783–93. http://dx.doi.org/10.3762/bjnano.7.171.

Texto completo
Resumen
Reflectance anisotropy spectroscopy (RAS) equipment is applied to monitor dry-etch processes (here specifically reactive ion etching (RIE)) of monocrystalline multilayered III–V semiconductors in situ. The related accuracy of etch depth control is better than 16 nm. Comparison with results of secondary ion mass spectrometry (SIMS) reveals a deviation of only about 4 nm in optimal cases. To illustrate the applicability of the reported method in every day settings for the first time the highly etch depth sensitive lithographic process to form a film lens on the waveguide ridge of a broad area laser (BAL) is presented. This example elucidates the benefits of the method in semiconductor device fabrication and also suggests how to fulfill design requirements for the sample in order to make RAS control possible.
Los estilos APA, Harvard, Vancouver, ISO, etc.
36

Knowles, Matthew, Andy Hooper y Kip Pettigrew. "Laser Processing and Integration for Si Interposers and 3D Packaging Applications". Additional Conferences (Device Packaging, HiTEC, HiTEN, and CICMT) 2012, DPC (1 de enero de 2012): 001783–806. http://dx.doi.org/10.4071/2012dpc-wp15.

Texto completo
Resumen
Presented here are laser processes for drilling debris-free and recast-free vias in silicon that are then integrated into downstream process. The process strategy consists of an integrated laser via drill system combined with an isotropic etch. By careful selection of both the laser and etch process parameters it is possible to control the via depth, diameter, sidewall slope/taper, and to eliminate the damaged Si material in the laser heat affected zone. Because the etch process is selective to Si, this is a mask-free and cost-effective process. Two different processes are demonstrated. For Part 1 we demonstrate an integrated process flow for TSVs with diameters in the range of 250 um for &lt;500 um thick Si wafers and a dry etch process. For Part 2 we demonstrate with a wet etch process
Los estilos APA, Harvard, Vancouver, ISO, etc.
37

Kim, Taek-Seung y Ji-Myon Lee. "Fabrication of Nanostructures by Dry Etching Using Dewetted Pt Islands as Etch-masks". Korean Journal of Materials Research 16, n.º 3 (27 de marzo de 2006): 151–56. http://dx.doi.org/10.3740/mrsk.2006.16.3.151.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
38

Huang, Hsien-Chih, Zhongjie Ren, Clarence Chan y Xiuling Li. "Wet etch, dry etch, and MacEtch of β-Ga2O3: A review of characteristics and mechanism". Journal of Materials Research 36, n.º 23 (10 de noviembre de 2021): 4756–70. http://dx.doi.org/10.1557/s43578-021-00413-0.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
39

Choi, Jae Hak, Phil Hyun Kang, Young Chang Nho y Sung Kwon Hong. "POSS-Containing Nanocomposite Materials for Next Generation Nanolithography". Solid State Phenomena 119 (enero de 2007): 299–302. http://dx.doi.org/10.4028/www.scientific.net/ssp.119.299.

Texto completo
Resumen
Nanocomposite materials based on poly(p-hydroxystyrene-co-2-methyl-2-adamantyl methacrylate-co-methacrylisobutyl-POSS) were synthesized and evaluated as EUV chemically amplified resists. Incorporation of 2-methyl-2-adamantyl and POSS groups into the matrix polymer made it possible to improve the dry-etch resistance, and excellent lithographic performance was obtained. The well-defined 250 nm positive patterns were obtained using a KrF excimer laser scanner, and 100 nm elbow patterns using an EUV lithography tool. The dry-etch resistance of this resist for a CF4-based plasma was comparable to that of poly(p-hydroxystyrene).
Los estilos APA, Harvard, Vancouver, ISO, etc.
40

Shang, Zheng Guo, Dong Ling Li, Sheng Qiang Wang y Jian Hua Liu. "Application of ICP Deep Trenches Etching in the Fabrication of FBAR Devices". Key Engineering Materials 503 (febrero de 2012): 293–97. http://dx.doi.org/10.4028/www.scientific.net/kem.503.293.

Texto completo
Resumen
It is presented a fabrication processing of a two step method in deep silicon etching for MEMS applications using an the UK company Surface Technology Systems plc (STS), inductively-coupled plasma (ICP) etch technique STS ICP deep dry etching system. A brief introduction of schematic process of etching deep trenches on silicon substrate is first given, then with two step method for etching deep trenches. The film bulk acoustic resonator (FBAR) devices have been fabricated using STS ICP deep dry etching system with maximum etch rate of 4.6μ m/min, depth more than 450μm and sidewall roughness no more than 0.14μm. At the end of the second step process, the etch selective ratio of silicon to silicon oxygen is enhanced to ensure the device yield. At the same time, the negative effects such as microloads effects, footing effects, lag effects and micrograss effects are suppressed effectively.
Los estilos APA, Harvard, Vancouver, ISO, etc.
41

Chen, Wei, Masahiro Itoh, Toshio Hayashi y Tajiro Uchida. "Dry Etch Process in Magnetic Neutral Loop Discharge Plasma". Japanese Journal of Applied Physics 37, Part 1, No. 1 (15 de enero de 1998): 332–36. http://dx.doi.org/10.1143/jjap.37.332.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
42

Rahman, M., N. P. Johnson, M. A. Foad, A. R. Long, M. C. Holland y C. D. W. Wilkinson. "Model for conductance in dry‐etch damagedn‐GaAs structures". Applied Physics Letters 61, n.º 19 (9 de noviembre de 1992): 2335–37. http://dx.doi.org/10.1063/1.108235.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
43

Wang, J. J., J. R. Childress, S. J. Pearton, F. Sharifi, K. H. Dahmen, E. S. Gillman, F. J. Cadieu, R. Rani, X. R. Qian y Li Chen. "Dry Etch Patterning of LaCaMnO3 and SmCo Thin Films". Journal of The Electrochemical Society 145, n.º 7 (1 de julio de 1998): 2512–16. http://dx.doi.org/10.1149/1.1838670.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
44

Sarrazin, Aurelien, Nicolas Posseme, Patricia Pimenta-Barros, Sébastien Barnola, Ahmed Gharbi, Maxime Argoud, Raluca Tiron y Christophe Cardinaud. "PMMA removal selectivity to polystyrene using dry etch approach". Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena 34, n.º 6 (noviembre de 2016): 061802. http://dx.doi.org/10.1116/1.4964881.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
45

Hajj-Hassan, M., M. Cheung y V. Chodavarapu. "Dry etch fabrication of porous silicon using xenon difluoride". Micro & Nano Letters 5, n.º 2 (2010): 63. http://dx.doi.org/10.1049/mnl.2009.0107.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
46

Pelka, J. "The influence of ion scattering on dry etch profiles". Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures 7, n.º 6 (noviembre de 1989): 1483. http://dx.doi.org/10.1116/1.584517.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
47

LETZKUS, F. "Dry etch processes for the fabrication of EUV masks". Microelectronic Engineering 73-74 (junio de 2004): 282–88. http://dx.doi.org/10.1016/s0167-9317(04)00112-1.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
48

Bond, P., P. Sengupta, Kevin G. Orrman-Rossiter, G. K. Reeves y P. J. K. Paterson. "Dry Etching of Indium Phosphide". MRS Proceedings 262 (1992). http://dx.doi.org/10.1557/proc-262-1073.

Texto completo
Resumen
ABSTRACTIndium Phosphide (InP) based multilayer structures are becoming increasingly important in the semiconductor industry with optoelectronic applications being the main growth area. Mesa type structures with finely controlled width and etch angle, often form the building blocks for many of these photonic devices. Traditional wet etching techniques have often proved to be inadequate for the required anisotropie removal of material. This paper presents the results of etching semi-insulating InP (100) using a combination of an Argon ion beam and a reactive gas, CCl2F2 (Freon 12). It was found that the etch rate was enhanced by increasing the ion energy and by the addition of CCl2F2. Auger electron spectroscopy revealed that the increased etch rate was accompanied by an increase in the surface indium concentration and at low ion beam energies carbon build-up retarded the etch rate. The optimum etch angle to fabricate 3μm waveguides was found to be 22° to the surface normal, however Schottky contacts to these structures were unsuccessful.
Los estilos APA, Harvard, Vancouver, ISO, etc.
49

Lothian, J. R., J. M. Kuo, S. J. Pearton y F. Ren. "Wet and Dry Etching of InGaP". MRS Proceedings 240 (1991). http://dx.doi.org/10.1557/proc-240-307.

Texto completo
Resumen
ABSTRACTThe wet chemical etching rates of InGaP in H3 PO4:HCL:H2O mixtures have been systematically measured as a function of etch formulation and are most rapid (-1 μm · min−1) for high HCl compositions. The etch rate, R, in a 1:1:1 mixture is thermally activated of the form R ∝ e−Ea/kT, where Ea = 11.25 kCal · mole−1. This is consistent with the etching being reaction-limited at the surface. This etch mixture is selective for InGaP over GaAs. For chlorine-based dry etch mixtures (PCl3 /Ar or CCl2 F2 /Ar) the etching rate of InGaP increases linearly with DC self-bias on the sample, whereas CH4/H2-based mixtures produce slower etch rates. Selectivities of ≥500 for etching GaAs over InGaP are obtained under low bias conditions with PCl3/Ar, but the surface morphologies of InGaP are rough. Both CCl2F2/Ar and CH4/H2/Ar mixtures produce smooth surface morphologies and good (>10) selectivities for etching GaAs over InGaP.
Los estilos APA, Harvard, Vancouver, ISO, etc.
50

"Dry etch chemical safety". Microelectronics Reliability 27, n.º 4 (enero de 1987): 788. http://dx.doi.org/10.1016/0026-2714(87)90097-7.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
Ofrecemos descuentos en todos los planes premium para autores cuyas obras están incluidas en selecciones literarias temáticas. ¡Contáctenos para obtener un código promocional único!

Pasar a la bibliografía