Artículos de revistas sobre el tema "Coarse Grained Reconfigurable arrays"

Siga este enlace para ver otros tipos de publicaciones sobre el tema: Coarse Grained Reconfigurable arrays.

Crea una cita precisa en los estilos APA, MLA, Chicago, Harvard y otros

Elija tipo de fuente:

Consulte los 50 mejores artículos de revistas para su investigación sobre el tema "Coarse Grained Reconfigurable arrays".

Junto a cada fuente en la lista de referencias hay un botón "Agregar a la bibliografía". Pulsa este botón, y generaremos automáticamente la referencia bibliográfica para la obra elegida en el estilo de cita que necesites: APA, MLA, Harvard, Vancouver, Chicago, etc.

También puede descargar el texto completo de la publicación académica en formato pdf y leer en línea su resumen siempre que esté disponible en los metadatos.

Explore artículos de revistas sobre una amplia variedad de disciplinas y organice su bibliografía correctamente.

1

Dimitroulakos, Grigorios, Stavros Georgiopoulos, Michalis D. Galanis y Costas E. Goutis. "Resource aware mapping on coarse grained reconfigurable arrays". Microprocessors and Microsystems 33, n.º 2 (marzo de 2009): 91–105. http://dx.doi.org/10.1016/j.micpro.2008.07.002.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
2

Theocharis, Panagiotis y Bjorn De Sutter. "A Bimodal Scheduler for Coarse-Grained Reconfigurable Arrays". ACM Transactions on Architecture and Code Optimization 13, n.º 2 (27 de junio de 2016): 1–26. http://dx.doi.org/10.1145/2893475.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
3

Ansaloni, Giovanni, Kazuyuki Tanimura, Laura Pozzi y Nikil Dutt. "Integrated Kernel Partitioning and Scheduling for Coarse-Grained Reconfigurable Arrays". IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 31, n.º 12 (diciembre de 2012): 1803–16. http://dx.doi.org/10.1109/tcad.2012.2209886.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
4

Egger, Bernhard, Eunjin Song, Hochan Lee y Daeyoung Shin. "Verification of coarse-grained reconfigurable arrays through random test programs". ACM SIGPLAN Notices 53, n.º 6 (7 de diciembre de 2018): 76–88. http://dx.doi.org/10.1145/3299710.3211342.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
5

Filho, J. O., S. Masekowsky, T. Schweizer y W. Rosenstiel. "CGADL: An Architecture Description Language for Coarse-Grained Reconfigurable Arrays". IEEE Transactions on Very Large Scale Integration (VLSI) Systems 17, n.º 9 (septiembre de 2009): 1247–59. http://dx.doi.org/10.1109/tvlsi.2008.2002429.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
6

Dimitroulakos, Grigorios, Nikos Kostaras, Michalis D. Galanis y Costas E. Goutis. "Compiler assisted architectural exploration framework for coarse grained reconfigurable arrays". Journal of Supercomputing 48, n.º 2 (16 de mayo de 2008): 115–51. http://dx.doi.org/10.1007/s11227-008-0208-y.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
7

Qu, Tongzhou, Zibin Dai, Yanjiang Liu y Lin Chen. "A High Flexible Shift Transformation Unit Design Approach for Coarse-Grained Reconfigurable Cryptographic Arrays". Electronics 11, n.º 19 (30 de septiembre de 2022): 3144. http://dx.doi.org/10.3390/electronics11193144.

Texto completo
Resumen
Shift transformations are the fundamental operation of cryptographic algorithms, and the arithmetic unit implementing different types of shift transformations are utilized in the coarse-grain reconfigurable cryptographic architectures (CGRCA) to meet the different cryptographic algorithms. In this paper, a reconfigurable shift transformation unit (RSTU) is proposed to meet the complicated shift requirement of CGRCA, which achieves high flexibility and a good cost–performance ratio. The mathematical properties of shift transformation are analyzed, and several theorems are introduced to design a reconfigurable shifter. Furthermore, the reconfigurable data path of the proposed unit is presented to implement the random combination of shift operations in different granularity, and configuration word and routing algorithms are proposed to generate control information for RSTU. Moreover, the control information generation module is designed to invert the configuration word into the control information, according to the routing algorithms. As a proof-of-concept, the proposed RSTU is built using the CMOS 65 nm technology. The experimental results show that RSTU supports more shift operations, increases 18.2% speed at most, and reduces 13% area occupation, compared to the existing shifters.
Los estilos APA, Harvard, Vancouver, ISO, etc.
8

Lopes, João D., Mário P. Véstias, Rui Policarpo Duarte , Horácio C. Neto y José T. de Sousa. "Coarse-Grained Reconfigurable Computing with the Versat Architecture". Electronics 10, n.º 6 (12 de marzo de 2021): 669. http://dx.doi.org/10.3390/electronics10060669.

Texto completo
Resumen
Reconfigurable computing architectures allow the adaptation of the underlying datapath to the algorithm. The granularity of the datapath elements and data width determines the granularity of the architecture and its programming flexibility. Coarse-grained architectures have shown the right balance between programmability and performance. This paper provides an overview of coarse-grained reconfigurable architectures and describes Versat, a Coarse-Grained Reconfigurable Array (CGRA) with self-generated partial reconfiguration, presented as a case study for better understanding these architectures. Unlike most of the existing approaches, which mainly use pre-compiled configurations, a Versat program can generate and apply myriads of on-the-fly configurations. Partial reconfiguration plays a central role in this approach, as it speeds up the generation of incrementally different configurations. The reconfigurable array has a complete graph topology, which yields unprecedented programmability, including assembly programming. Besides being useful for optimising programs, assembly programming is invaluable for working around post-silicon hardware, software, or compiler issues. Results on core area, frequency, power, and performance running different codes are presented and compared to other implementations.
Los estilos APA, Harvard, Vancouver, ISO, etc.
9

De Sutter, Bjorn, Paul Coene, Tom Vander Aa y Bingfeng Mei. "Placement-and-routing-based register allocation for coarse-grained reconfigurable arrays". ACM SIGPLAN Notices 43, n.º 7 (27 de junio de 2008): 151–60. http://dx.doi.org/10.1145/1379023.1375678.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
10

Kissler, Dmitrij, Daniel Gran, Zoran Salcic, Frank Hannig y Jürgen Teich. "Scalable Many-Domain Power Gating in Coarse-Grained Reconfigurable Processor Arrays". IEEE Embedded Systems Letters 3, n.º 2 (junio de 2011): 58–61. http://dx.doi.org/10.1109/les.2011.2124438.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
11

Yang, Chen, Leibo Liu, Yansheng Wang, Shouyi Yin, Peng Cao y Shaojun Wei. "Configuration Approaches to Enhance Computing Efficiency of Coarse-Grained Reconfigurable Array". Journal of Circuits, Systems and Computers 24, n.º 03 (10 de febrero de 2015): 1550043. http://dx.doi.org/10.1142/s0218126615500437.

Texto completo
Resumen
The major bottleneck of coarse-grained reconfigurable arrays (CGRAs) is the excessive configuration overhead; as a result, computing potential cannot be fully utilized. At run-time, the function of CGRAs can be fully and dynamically reconfigured by changing contexts. Therefore, the frequency of context switching on CGRAs is very high. On the other hand, the configuration time of CGRAs is very long. This paper proposes three configuration approaches to reduce interval latency when switching configuration contexts. These proposed approaches include input data relocation (IDR), line-based context switching (LCS), and loop interval minimization (LIM). IDR relocates input data to the first stage of the pipeline; as a result, the delay time for the input data of the next data flow graph (DFG) is reduced. LCS is a LCS mechanism for adjacent independent DFGs to reduce the interval of context switching, thereby expanding the depth of the pipeline. LIM is used to minimize the interval of loops. Simulations on a coarse-grained reconfigurable processor called reconfigurable multimedia system (REMUS) show that 1080 p@30 fps for H.264 high profile video decoding can be achieved under 200 MHz working frequency. As for AVS and MPEG2 decoding algorithms, much higher performance, i.e., 1080 p@39 fps and 1080 p@41 fps, can be achieved respectively.
Los estilos APA, Harvard, Vancouver, ISO, etc.
12

Choi, Kiyoung. "Coarse-Grained Reconfigurable Array: Architecture and Application Mapping". IPSJ Transactions on System LSI Design Methodology 4 (2011): 31–46. http://dx.doi.org/10.2197/ipsjtsldm.4.31.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
13

Döbrich, Stefan y Christian Hochberger. "Low-Complexity Online Synthesis for AMIDAR Processors". International Journal of Reconfigurable Computing 2010 (2010): 1–15. http://dx.doi.org/10.1155/2010/953693.

Texto completo
Resumen
Future chip technologies will change the way we deal with hardware design. First of all, logic resources will be available in vast amount. Furthermore, engineering specialized designs for particular applications will no longer be the general approach as the nonrecurring expenses will grow tremendously. Reconfigurable logic has often been promoted as a solution to these problems. Today, it can be found in two varieties: field programmable gate arrays or coarse-grained reconfigurable arrays. Using this type of technology typically requires a lot of expert knowledge, which is not sufficiently available. Thus, we believe that online synthesis that takes place during the execution of an application is one way to broaden the applicability of reconfigurable architectures. In this paper, we show that even a relative simplistic synthesis approach with low computational complexity can have a strong impact on the performance of compute intensive applications.
Los estilos APA, Harvard, Vancouver, ISO, etc.
14

Hartmann, Matthias, Vasileios (Vassilis) Pantazis, Tom Vander Aa, Mladen Berekovic y Christian Hochberger. "Still Image Processing on Coarse-Grained Reconfigurable Array Architectures". Journal of Signal Processing Systems 60, n.º 2 (11 de diciembre de 2008): 225–37. http://dx.doi.org/10.1007/s11265-008-0309-0.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
15

Ferreira, Ricardo S., João M. P. Cardoso, Alex Damiany, Julio Vendramini y Tiago Teixeira. "Fast placement and routing by extending coarse-grained reconfigurable arrays with Omega Networks". Journal of Systems Architecture 57, n.º 8 (septiembre de 2011): 761–77. http://dx.doi.org/10.1016/j.sysarc.2011.03.006.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
16

Zhou, Li, Dongpei Liu, Jianfeng Zhang y Hengzhu Liu. "Application-specific coarse-grained reconfigurable array: architecture and design methodology". International Journal of Electronics 102, n.º 6 (8 de agosto de 2014): 897–910. http://dx.doi.org/10.1080/00207217.2014.942885.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
17

Bae, Inpyo, Barend Harris, Hyemi Min y Bernhard Egger. "Auto-Tuning CNNs for Coarse-Grained Reconfigurable Array-Based Accelerators". IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 37, n.º 11 (noviembre de 2018): 2301–10. http://dx.doi.org/10.1109/tcad.2018.2857278.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
18

Vranjković, Vuk S., Rastislav J. R. Struharik y Ladislav A. Novak. "Reconfigurable Hardware for Machine Learning Applications". Journal of Circuits, Systems and Computers 24, n.º 05 (8 de abril de 2015): 1550064. http://dx.doi.org/10.1142/s0218126615500644.

Texto completo
Resumen
This paper proposes universal coarse-grained reconfigurable computing architecture for hardware implementation of decision trees (DTs), artificial neural networks (ANNs), and support vector machines (SVMs), suitable for both field programmable gate arrays (FPGA) and application specific integrated circuits (ASICs) implementation. Using this universal architecture, two versions of DTs (functional DT and axis-parallel DT), two versions of SVMs (with polynomial and radial kernel) and two versions of ANNs (multi layer perceptron ANN and radial basis ANN) machine learning classifiers, have been implemented in FPGA. Experimental results, based on 18 benchmark datasets of standard UCI machine learning repository database, show that FPGA implementation provides significant improvement (1–2 orders of magnitude) in the average instance classification time, in comparison with software implementations based on R project.
Los estilos APA, Harvard, Vancouver, ISO, etc.
19

Munaf, S., Dr A. Bharathi y Dr A. N. Jayanthi. "Double Pumping Low Power Technique for Coarse - Grained Reconfigurable Architecture". International Journal of Electrical and Electronics Research 4, n.º 1 (31 de marzo de 2016): 10–15. http://dx.doi.org/10.37391/ijeer.040103.

Texto completo
Resumen
Coarse-grained reconfigurable architectures (CGRAs) require many processing elements (PEs) and a con- figuration memory unit (configuration cache) for reconfiguration of its PE array. Though this architecture is meant for high performance and flexibility. Power reduction is very crucial for CGRA to be more competitive and reliable processing core in embedded systems. We propose a DDR SDRAM (Double Data Rate Synchronous Dynamic Random Access Memory) architecture to reduce power-overhead caused by reconfiguration. The power reduction can be achieved by using the characteristics like double pumping the data bus and an I/O buffer between the memory and the data bus of DDR SDRAM. All modules have been designed at behavioral level with VHDL coding and to Simulate in Xilinx ISE navigator.
Los estilos APA, Harvard, Vancouver, ISO, etc.
20

Hannig, Frank, Hritam Dutta y Jurgen Teich. "Mapping a class of dependence algorithms to coarse-grained reconfigurable arrays: architectural parameters and methodology". International Journal of Embedded Systems 2, n.º 1/2 (2006): 114. http://dx.doi.org/10.1504/ijes.2006.010170.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
21

Kissler, Dmitrij, Frank Hannig y Jürgen Teich. "Efficient Evaluation of Power/Area/Latency Design Trade-Offs for Coarse-Grained Reconfigurable Processor Arrays". Journal of Low Power Electronics 7, n.º 1 (1 de febrero de 2011): 29–40. http://dx.doi.org/10.1166/jolpe.2011.1114.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
22

KIM, YOONJIN. "POWER-EFFICIENT CONFIGURATION CACHE STRUCTURE FOR COARSE-GRAINED RECONFIGURABLE ARCHITECTURE". Journal of Circuits, Systems and Computers 22, n.º 03 (marzo de 2013): 1350001. http://dx.doi.org/10.1142/s0218126613500011.

Texto completo
Resumen
Coarse-grained reconfigurable architectures (CGRA) require many processing elements (PEs) and a configuration memory unit (configuration cache) for reconfiguration of its PE array. Although this structure is meant for high performance and flexibility, it consumes significant power. Specially, power consumption by configuration cache is explicit overhead compared to other types of IP cores. Reducing power in configuration cache is very crucial for CGRA to be more competitive and reliable processing core in embedded systems. In this paper, I propose a power-efficient configuration cache structure based on two design schemes — one is a reusable context pipelining (RCP) architecture to reduce power-overhead caused by reconfiguration and another is a dynamic context management strategy for power saving in configuration cache. This power-efficient approach works without degrading the performance and flexibility of CGRA. Experimental results show that the proposed approach saves 56.50%/86.84% of the average power in write/read-operation of configuration cache compared to the previous design.
Los estilos APA, Harvard, Vancouver, ISO, etc.
23

Patel, Kunjan, Séamas McGettrick y C. J. Bleakley. "Rapid functional modelling and simulation of coarse grained reconfigurable array architectures". Journal of Systems Architecture 57, n.º 4 (abril de 2011): 383–91. http://dx.doi.org/10.1016/j.sysarc.2011.02.006.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
24

Qu, Tongzhou, Zibin Dai, Chen Lin y Anqi Yin. "Adaptive loop pipeline control mechanism for Coarse-Grained Reconfigurable Block Cipher Array". Journal of Physics: Conference Series 1971, n.º 1 (1 de julio de 2021): 012051. http://dx.doi.org/10.1088/1742-6596/1971/1/012051.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
25

Yang, Chen, LeiBo Liu, ShouYi Yin y ShaoJun Wei. "Efficient and flexible memory architecture to alleviate data and context bandwidth bottlenecks of coarse-grained reconfigurable arrays". Science China Physics, Mechanics & Astronomy 57, n.º 12 (21 de octubre de 2014): 2214–27. http://dx.doi.org/10.1007/s11433-014-5610-2.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
26

Rouson, Damian W. I. y Yi Xiong. "Design Metrics in Quantum Turbulence Simulations: How Physics Influences Software Architecture". Scientific Programming 12, n.º 3 (2004): 185–96. http://dx.doi.org/10.1155/2004/910505.

Texto completo
Resumen
The information hiding philosophy of object-oriented programming encourages localizing data structures within objects rather than sharing data globally across different classes of objects. This emphasis on local data leads naturally to fine-grained data abstractions, particularly in scientific simulations involving large collections of small, discrete physical or mathematical objects. This paper focuses on a subset of such simulations where dynamically reconfigurable links bind the objects together. It is demonstrated that fine-grained data structures reduce the complexity of local operations on the data at the potential expense of increased global operation complexity. Two metrics are used to describe data structures: granularity is the number of instantiations required to cover the data space, whereas extent is the continuously traversable length of the data along a given direction. These definitions are applied to two abstractions for simulating the turbulent motion of quantum vortices in superfluid liquid helium. Several local and global operations on a fine-grained linked list are compared with those on a coarse-grained array. It is demonstrated that fine-grained data structures recover the simplicity of more coarse-grained structures if maximal extent is maintained as the granularity increases.
Los estilos APA, Harvard, Vancouver, ISO, etc.
27

Patel, Kunjan y Chris J. Bleakley. "Coarse Grained Reconfigurable Array Based Architecture for Low Power Real-Time Seizure Detection". Journal of Signal Processing Systems 82, n.º 1 (7 de marzo de 2015): 55–68. http://dx.doi.org/10.1007/s11265-015-0981-9.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
28

Liu, Leibo, Chen Yang, Shouyi Yin y Shaojun Wei. "CDPM: Context-Directed Pattern Matching Prefetching to Improve Coarse-Grained Reconfigurable Array Performance". IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 37, n.º 6 (junio de 2018): 1171–84. http://dx.doi.org/10.1109/tcad.2017.2748026.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
29

Yang, Chen, Leibo Liu, Kai Luo, Shouyi Yin y Shaojun Wei. "CIACP: A Correlation- and Iteration- Aware Cache Partitioning Mechanism to Improve Performance of Multiple Coarse-Grained Reconfigurable Arrays". IEEE Transactions on Parallel and Distributed Systems 28, n.º 1 (1 de enero de 2017): 29–43. http://dx.doi.org/10.1109/tpds.2016.2554278.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
30

Weinhardt, Markus, Mohamed Messelka y Philipp Käsgen. "CHiPReP—A Compiler for the HiPReP High-Performance Reconfigurable Processor". Electronics 10, n.º 21 (23 de octubre de 2021): 2590. http://dx.doi.org/10.3390/electronics10212590.

Texto completo
Resumen
This article presents CHiPReP, a C compiler for the HiPReP processor, which is a high-performance Coarse-Grained Reconfigurable Array employing Floating-Point Units. CHiPReP is an extension of the LLVM and CCF compiler frameworks. Its main contributions are (i) a Splitting Algorithm for Data Dependence Graphs, which distributes the computations of a C loop to Address-Generator Units and Processing Elements; (ii) a novel instruction clustering and scheduling heuristic; and (iii) an integrated placement, pipeline balancing and routing optimization method based on Simulated Annealing. The compiler was verified and analyzed using a cycle-accurate HiPReP simulation model.
Los estilos APA, Harvard, Vancouver, ISO, etc.
31

Lu, Yanan, Leibo Liu, Yangdong Deng, Jian Weng, Shouyi Yin, Yiyu Shi y Shaojun Wei. "Triggered-Issuance and Triggered-Execution: A Control Paradigm to Minimize Pipeline Stalls in Distributed Controlled Coarse-Grained Reconfigurable Arrays". IEEE Transactions on Parallel and Distributed Systems 29, n.º 10 (1 de octubre de 2018): 2360–72. http://dx.doi.org/10.1109/tpds.2018.2822708.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
32

Li, Zeyu, Junjie Wang, Zhao Huang, Nan Luo y Quan Wang. "Towards Trust Hardware Deployment of Edge Computing: Mitigation of Hardware Trojans based on Evolvable Hardware". Applied Sciences 12, n.º 13 (29 de junio de 2022): 6601. http://dx.doi.org/10.3390/app12136601.

Texto completo
Resumen
Hardware Trojans (HTs) are malicious hardware components designed to leak confidential information or cause the chip/circuit on which they are integrated to malfunction during operation. When we deploy such hardware platforms for edge computing, FPGA-based implementations of Coarse-Grained Reconfigurable Array (CGRA) are also currently falling victim to HT insertion. However, for CGRA, an evolvable hardware (EHW) platform, which has the ability to dynamically change its configuration and behavioral characteristics based on inputs from the environment, provides us with a new way to mitigate HT attacks. In this regard, we investigate the feasibility of using EHW to mitigate HTs that disrupt normal functionality in CGRA in this paper. When it is determined that HT is inserted into certain processing elements (PEs), the array autonomously reconfigures the circuit structure based on an evolutionary algorithm (EA) to avoid the use of HT-infected (HT-I) PEs. We show that the proposed approach is applicable to: (1) hardware platforms that support coarse-grained reconfiguration; and (2) pure combinatorial circuits. In a simulation environment built in Python, this paper reports experimental results for two target evolutionary circuits and outlines the effectiveness of the proposed method.
Los estilos APA, Harvard, Vancouver, ISO, etc.
33

Mehta, Dinesh P., Carl Shetters y Donald W. Bouldin. "Meta-Algorithms for Scheduling a Chain of Coarse-Grained Tasks on an Array of Reconfigurable FPGAs". VLSI Design 2013 (25 de diciembre de 2013): 1–13. http://dx.doi.org/10.1155/2013/249592.

Texto completo
Resumen
This paper considers the problem of scheduling a chain of n coarse-grained tasks on a linear array of k reconfigurable FPGAs with the objective of primarily minimizing reconfiguration time. A high-level meta-algorithm along with two detailed meta-algorithms (GPRM and SPRM) that support a wide range of problem formulations and cost functions is presented. GPRM, the more general of the two schemes, reduces the problem to computing a shortest path in a DAG; SPRM, the less general scheme, employs dynamic programming. Both meta algorithms are linear in n and compute optimal solutions. GPRM can be exponential in k but is nevertheless practical because k is typically a small constant. The deterministic quality of this meta algorithm and the guarantee of optimal solutions for all of the formulations discussed make this approach a powerful alternative to other metatechniques such as simulated annealing and genetic algorithms.
Los estilos APA, Harvard, Vancouver, ISO, etc.
34

Liu, LeiBo, YanSheng Wang, ShouYi Yin, Min Zhu, Xing Wang y ShaoJun Wei. "Row-based configuration mechanism for a 2-D processing element array in coarse-grained reconfigurable architecture". Science China Information Sciences 57, n.º 10 (6 de septiembre de 2014): 1–18. http://dx.doi.org/10.1007/s11432-013-4973-8.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
35

Mudza, Zbigniew y Rafał Kiełbik. "Mapping Processing Elements of Custom Virtual CGRAs onto Reconfigurable Partitions". Electronics 11, n.º 8 (16 de abril de 2022): 1261. http://dx.doi.org/10.3390/electronics11081261.

Texto completo
Resumen
FPGAs can provide application-specific acceleration for computationally demanding tasks. However, they are rarely considered general-purpose platforms due to low productivity of software development and long reconfiguration time. These problems can be mitigated by implementing a coarser overlay atop the FPGA fabric. Combining this approach with partial reconfiguration allows for the modification of individual processing elements (PEs) of the virtual architecture without altering the rest of the system. Module relocation can be used to share implementation details between functionally equivalent PEs that use identical sets of resources, thus eliminating redundant placement and routing runs. Proper floorplanning is crucial for virtual Coarse-Grained Reconfigurable Architectures (CGRAs) with relocatable PEs considering their tendency to use nearest-neighbor connection patterns. It requires solving two problems—finding identical regions in the FPGA fabric and assigning individual partitions to certain locations. This article presents minor improvements of a state-of-the-art solution for the first and proposes a novel technique for solving the other. The proposed automated floorplanner uses modified breadth-first search with direction-based penalties to create initial floorplan consistent with geometry of logical array, then improves the result with 2-opt local optimization. Compared to simulated annealing solutions, the proposed approach allows for the reduction in the floorplanning time by two to three orders of magnitude without compromising the quality of the results.
Los estilos APA, Harvard, Vancouver, ISO, etc.
36

Ho, H., V. Szwarc y T. Kwasniewski. "A Reconfigurable Systolic Array Architecture for Multicarrier Wireless and Multirate Applications". International Journal of Reconfigurable Computing 2009 (2009): 1–14. http://dx.doi.org/10.1155/2009/529512.

Texto completo
Resumen
A reconfigurable systolic array (RSA) architecture that supports the realization of DSP functions for multicarrier wireless and multirate applications is presented. The RSA consists of coarse-grained processing elements that can be configured as complex DSP functions that are the basic building blocks of Polyphase-FIR filters, phase shifters, DFTs, and Polyphase-DFT circuits. The homogeneous characteristic of the RSA architecture, where each reconfigurable processing element (PE) cell is connected to its nearest neighbors via configurable switch (SW) elements, enables array expansion for parallel processing and facilitates time sharing computation of high-throughput data by individual PEs. For DFT circuit configurations, an algorithmic optimization technique has been employed to reduce the overall number of vector-matrix products to be mapped on the RSA. The hardware complexity and throughput of the RSA-based DFT structures have been evaluated and compared against several conventional modular FFT realizations. Designs and circuit implementations of the PE cell and several RSAs configured as DFT and Polyphase filter circuits are also presented. The RSA architecture offers significant flexibility and computational capacity for applications that require real time reconfiguration and high-density computing.
Los estilos APA, Harvard, Vancouver, ISO, etc.
37

Zhao, Zhongyuan, Weiguang Sheng, Jinchao Li, Pengfei Ye, Qin Wang y Zhigang Mao. "Similarity-Aware Architecture/Compiler Co-Designed Context-Reduction Framework for Modulo-Scheduled CGRA". Electronics 10, n.º 18 (9 de septiembre de 2021): 2210. http://dx.doi.org/10.3390/electronics10182210.

Texto completo
Resumen
Modulo-scheduled coarse-grained reconfigurable array (CGRA) processors have shown their potential for exploiting loop-level parallelism at high energy efficiency. However, these CGRAs need frequent reconfiguration during their execution, which makes them suffer from large area and power overhead for context memory and context-fetching. To tackle this challenge, this paper uses an architecture/compiler co-designed method for context reduction. From an architecture perspective, we carefully partition the context into several subsections and only fetch the subsections that are different to the former context word whenever fetching the new context. We package each different subsection with an opcode and index value to formulate a context-fetching primitive (CFP) and explore the hardware design space by providing the centralized and distributed CFP-fetching CGRA to support this CFP-based context-fetching scheme. From the software side, we develop a similarity-aware tuning algorithm and integrate it into state-of-the-art modulo scheduling and memory access conflict optimization algorithms. The whole compilation flow can efficiently improve the similarities between contexts in each PE for the purpose of reducing both context-fetching latency and context footprint. Experimental results show that our HW/SW co-designed framework can improve the area efficiency and energy efficiency to at most 34% and 21% higher with only 2% performance overhead.
Los estilos APA, Harvard, Vancouver, ISO, etc.
38

Tehre, Vaishali y Ravindra Kshirsagar. "Survey on Coarse Grained Reconfigurable Architectures". International Journal of Computer Applications 48, n.º 16 (30 de junio de 2012): 1–7. http://dx.doi.org/10.5120/7429-0104.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
39

Jong-eun Lee, Kiyoung Choi y N. D. Dutt. "Compilation approach for coarse-grained reconfigurable architectures". IEEE Design & Test of Computers 20, n.º 1 (enero de 2003): 26–33. http://dx.doi.org/10.1109/mdt.2003.1173050.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
40

Paek, Jong Kyung, Kiyoung Choi y Jongeun Lee. "Binary acceleration using coarse-grained reconfigurable architecture". ACM SIGARCH Computer Architecture News 38, n.º 4 (14 de septiembre de 2010): 33–39. http://dx.doi.org/10.1145/1926367.1926374.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
41

Ansaloni, Giovanni, Paolo Bonzini y Laura Pozzi. "EGRA: A Coarse Grained Reconfigurable Architectural Template". IEEE Transactions on Very Large Scale Integration (VLSI) Systems 19, n.º 6 (junio de 2011): 1062–74. http://dx.doi.org/10.1109/tvlsi.2010.2044667.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
42

Wang, Xing, Lei Bo Liu, Shou Yi Yin, Min Zhu y Shao Jun Wei. "H.264/AVC Intra Predictor on a Coarse-Grained Reconfigurable Multi-Media System". Advanced Materials Research 546-547 (julio de 2012): 469–74. http://dx.doi.org/10.4028/www.scientific.net/amr.546-547.469.

Texto completo
Resumen
Coarse-Grained Reconfigurable Architectures (CGRA) have proved to be the potential candidates to meet the high performance, low power and flexibility required by embedded systems. In this paper, we implemented a High Profile Intra Predictor for H.264/AVC decoder on a novel coarse-grained reconfigurable processor- Remus (Reconfigurable Multi-media System). We proposed the pipeline and parallel scheduling process for intra prediction algorithm and the simulation results show that 548 clock cycles are consumed for the worst case of intra macro blocks.
Los estilos APA, Harvard, Vancouver, ISO, etc.
43

YIN, Shouyi, Chongyong YIN, Leibo LIU, Min ZHU y Shaojun WEI. "Configuration Context Reduction for Coarse-Grained Reconfigurable Architecture". IEICE Transactions on Information and Systems E95-D, n.º 2 (2012): 335–44. http://dx.doi.org/10.1587/transinf.e95.d.335.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
44

Wang, Chao, Peng Cao y Jun Yang. "Efficient AES cipher on coarse-grained reconfigurable architecture". IEICE Electronics Express 14, n.º 11 (2017): 20170449. http://dx.doi.org/10.1587/elex.14.20170449.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
45

Hussain, Shaik Rizwan y Jahangir Badashah Syed. "Design and Applications of Coarse-Grained Reconfigurable Architectures". International Journal of Scientific Research 2, n.º 12 (1 de junio de 2012): 198–201. http://dx.doi.org/10.15373/22778179/dec2013/61.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
46

Atak, Oguzhan y Abdullah Atalar. "BilRC: An Execution Triggered Coarse Grained Reconfigurable Architecture". IEEE Transactions on Very Large Scale Integration (VLSI) Systems 21, n.º 7 (julio de 2013): 1285–98. http://dx.doi.org/10.1109/tvlsi.2012.2207748.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
47

Akbari, Omid, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram y Muhammad Shafique. "Toward Approximate Computing for Coarse-Grained Reconfigurable Architectures". IEEE Micro 38, n.º 6 (1 de noviembre de 2018): 63–72. http://dx.doi.org/10.1109/mm.2018.2873951.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
48

Sim, Hyeonuk, Hongsik Lee, Seongseok Seo y Jongeun Lee. "Mapping Imperfect Loops to Coarse-Grained Reconfigurable Architectures". IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 35, n.º 7 (julio de 2016): 1092–104. http://dx.doi.org/10.1109/tcad.2015.2504918.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
49

Seveso, Luigi, Dardo Goyeneche y Karol Życzkowski. "Coarse-grained entanglement classification through orthogonal arrays". Journal of Mathematical Physics 59, n.º 7 (julio de 2018): 072203. http://dx.doi.org/10.1063/1.5006890.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
50

KOJIMA, Takuya y Hideharu AMANO. "A Fine-Grained Multicasting of Configuration Data for Coarse-Grained Reconfigurable Architectures". IEICE Transactions on Information and Systems E102.D, n.º 7 (1 de julio de 2019): 1247–56. http://dx.doi.org/10.1587/transinf.2018edp7336.

Texto completo
Los estilos APA, Harvard, Vancouver, ISO, etc.
Ofrecemos descuentos en todos los planes premium para autores cuyas obras están incluidas en selecciones literarias temáticas. ¡Contáctenos para obtener un código promocional único!

Pasar a la bibliografía