Journal articles on the topic 'Wet etching'

To see the other types of publications on this topic, follow the link: Wet etching.

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 journal articles for your research on the topic 'Wet etching.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse journal articles on a wide variety of disciplines and organise your bibliography correctly.

1

Li, Hao, Yong You Geng, and Yi Qun Wu. "Selective Wet Etching Characteristics of Aginsbte Phase Change Film with Ammonium Sulfide Solution." Advanced Materials Research 529 (June 2012): 388–93. http://dx.doi.org/10.4028/www.scientific.net/amr.529.388.

Full text
Abstract:
The selective wet etching characteristics of AgInSbTe film as a new thermal lithography material were studied with ammonium sulfide solution as etchant. Influences of vacuum-annealing temperature, etchant concentration and wet etching time on selective wet etching characteristics of the amorphous and crystalline AgInSbTe films were investigated. Experimental results indicated that the etching rate of AgInSbTe film increased with the enhancement of crystallization extent, and the etching rate of crystalline state AgInSbTe film annealed at 300°C was 35nm/min in 17wt% ammonium sulfide solution, about 17.5 times as high as that of the amorphous state. Moreover, a good surface morphology of AgInSbTe film with roughness of less than 3 nm was attained in the area of 10×10 μm2 after wet-etching. The wet etching selectivity of the AgInSbTe film was strongly influenced by the annealing temperature and the etchant concentration.
APA, Harvard, Vancouver, ISO, and other styles
2

KATO, Kazunori. "Application of Wet Etching. Wet Etching-Theory and Application." Journal of the Surface Finishing Society of Japan 49, no. 10 (1998): 1031–37. http://dx.doi.org/10.4139/sfj.49.1031.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Yusoh, Siti Noorhaniah, and Khatijah Aisha Yaacob. "Effect of tetramethylammonium hydroxide/isopropyl alcohol wet etching on geometry and surface roughness of silicon nanowires fabricated by AFM lithography." Beilstein Journal of Nanotechnology 7 (October 17, 2016): 1461–70. http://dx.doi.org/10.3762/bjnano.7.138.

Full text
Abstract:
The optimization of etchant parameters in wet etching plays an important role in the fabrication of semiconductor devices. Wet etching of tetramethylammonium hydroxide (TMAH)/isopropyl alcohol (IPA) on silicon nanowires fabricated by AFM lithography is studied herein. TMAH (25 wt %) with different IPA concentrations (0, 10, 20, and 30 vol %) and etching time durations (30, 40, and 50 s) were investigated. The relationships between etching depth and width, and etching rate and surface roughness of silicon nanowires were characterized in detail using atomic force microscopy (AFM). The obtained results indicate that increased IPA concentration in TMAH produced greater width of the silicon nanowires with a smooth surface. It was also observed that the use of a longer etching time causes more unmasked silicon layers to be removed. Importantly, throughout this study, wet etching with optimized parameters can be applied in the design of the devices with excellent performance for many applications.
APA, Harvard, Vancouver, ISO, and other styles
4

Ding, Jingxiu, Ruipeng Zhang, Yuchun Li, David Wei Zhang, and Hongliang Lu. "Investigation of a Macromolecular Additive on the Decrease of the Aluminum Horizontal Etching Rate in the Wet Etching Process." Metals 12, no. 5 (May 8, 2022): 813. http://dx.doi.org/10.3390/met12050813.

Full text
Abstract:
The effect of a macromolecular additive on the etching rate of aluminum (Al) horizontal etching in the wet process was investigated in this work. The horizontal etching in the Al wet etching process became more evident as the film Al becomes thicker. The proposed macromolecule additive, including polyethylene-polypropylene glycol, was added into the Al etchant solution to reduce the Al horizontal etching rate (ER). The undercut problem during metal patterning can then be improved. By using this method, the Al horizontal ER was reduced from 2.0 to 0.9 μm per minute and the selection ratio between the horizontal and vertical ER was effectively improved from 3 to 1.3 times. As well, a hypothesis of physical mechanism for the improvement was proposed. The dispersed particles from the additive emulsion inhibited the transport and exchange of liquid in a horizontal direction. This work provides an alternative reference to improve the selection ratio performance in the metal wet etching process compared with that when using traditional method.
APA, Harvard, Vancouver, ISO, and other styles
5

Ueda, Dai, Yousuke Hanawa, Hiroaki Kitagawa, Naozumi Fujiwara, Masayuki Otsuji, Hiroaki Takahashi, and Kazuhiro Fukami. "Effect of Hydrophobicity and Surface Potential of Silicon on SiO2 Etching in Nanometer-Sized Narrow Spaces." Solid State Phenomena 314 (February 2021): 155–60. http://dx.doi.org/10.4028/www.scientific.net/ssp.314.155.

Full text
Abstract:
Wet etching in nanometer-sized three-dimensional spaces creates new challengesbecause of the scaling of semiconductor devices with complex 3D architecture. Wet etching withinspaces is affected by the mass transport of the etchant ions that are impacted by the hydrophobicityand surface potential of surface. However, the kinetics of chemical reactions within the spaces is stillunclear.In this paper, we studied the effect of hydrophobicity and surface potential of silicon surface on SiO2etching in nanometer-sized narrow spaces by adding various additive components to etching solutions.We found that the transport of etchant ions into narrow spaces is governed by controlling thehydrophobicity and surface potential of the confined system walls.
APA, Harvard, Vancouver, ISO, and other styles
6

Çakır, Orhan. "Review of Etchants for Copper and its Alloys in Wet Etching Processes." Key Engineering Materials 364-366 (December 2007): 460–65. http://dx.doi.org/10.4028/www.scientific.net/kem.364-366.460.

Full text
Abstract:
Wet etching processes have been widely used for producing micro-components for various applications. These processes are simple and easy to implement. The selection of suitable chemical solution which is called etchant is the most important factor in the wet etching processes. It affects etch rate and surface finish quality. Copper and its alloys are important commercial materials in various industries, especially in electronics industry. Their wide applications are due to their excellent electrical and thermal conductivity, ease of fabrication, good strength and fatigue properties. The present study examines the possible etchants for copper and its alloys and reviews studies in detail to find out optimum etchant and its application parameters. The study is also aimed to provide information about safety, health and environmental issues caused by using various etchants in wet etching processes of copper and copper alloys.
APA, Harvard, Vancouver, ISO, and other styles
7

Rahim, Rosminazuin A., Badariah Bais, and Majlis Burhanuddin Yeop. "Simple Microcantilever Release Process of Silicon Piezoresistive Microcantilever Sensor Using Wet Etching." Applied Mechanics and Materials 660 (October 2014): 894–98. http://dx.doi.org/10.4028/www.scientific.net/amm.660.894.

Full text
Abstract:
In this paper, a simple microcantilever release process using anisotropic wet etching is presented. The microcantilever release is conducted at the final stage of the fabrication of piezoresistive microcantilever sensor. Issues related to microcantilever release such as microscopic roughness and macroscopic roughness has been resolved using simple technique. By utilizing silicon oxide (SiO2) as the etch stop for the wet etching process, issues related to microscopic roughness can be eliminated. On the other hand, proper etching procedure with constant stirring of the etchant solution of KOH anisotropic etching significantly reduces the notching effect contributed by the macroscopic roughness. Upon the completion of microcantilever release, suspended microcantilever of 2μm thick is realized with the removal of SiO2layer using Buffered Oxide Etching (BOE).
APA, Harvard, Vancouver, ISO, and other styles
8

Rath, P., J. C. Chai, Y. C. Lam, V. M. Murukeshan, and H. Zheng. "A Total Concentration Fixed-Grid Method for Two-Dimensional Wet Chemical Etching." Journal of Heat Transfer 129, no. 4 (October 21, 2006): 509–16. http://dx.doi.org/10.1115/1.2709654.

Full text
Abstract:
A total concentration fixed-grid method is presented in this paper to model the two-dimensional wet chemical etching. Two limiting cases are discussed, namely—the diffusion-controlled etching and the reaction-controlled etching. A total concentration, which is the sum of the unreacted and the reacted etchant concentrations, is defined. Using this newly defined total concentration, the governing equation also contains the interface condition. A new update procedure for the reacted concentration is formulated. For demonstration, the finite-volume method is used to solve the governing equation with prescribed initial and boundary conditions. The effects of reaction rate at the etchant–substrate interface are examined. The results obtained using the total concentration method, are compared with available results from the literature.
APA, Harvard, Vancouver, ISO, and other styles
9

Philipsen, Harold, Sander Teck, Nils Mouwen, Wouter Monnens, and Quoc Toan Le. "Wet-Chemical Etching of Ruthenium in Acidic Ce4+ Solution." Solid State Phenomena 282 (August 2018): 284–87. http://dx.doi.org/10.4028/www.scientific.net/ssp.282.284.

Full text
Abstract:
The wet-chemical etching of ruthenium in acidic solutions of cerium (IV) has been investigated using electrochemical methods. Etch rates were determined using Rutherford backscattering spectroscopy (RBS) and post-etching surface roughness was investigated using atomic force microscopy (AFM). Low-k material is compatible with the etchant, however, residues were formed.
APA, Harvard, Vancouver, ISO, and other styles
10

Shimozono, Naoki, Mikinori Nagano, Takaaki Tabata, and Kazuya Yamamura. "Study on In Situ Etching Rate Monitoring in Numerically Controlled Local Wet Etching." Key Engineering Materials 523-524 (November 2012): 34–39. http://dx.doi.org/10.4028/www.scientific.net/kem.523-524.34.

Full text
Abstract:
Numerically controlled local wet etching (NC-LWE) is very promising technique for deterministic figuring of ultraprecision optical devices, such as aspherical lens, photo mask substrate and X-ray or neutron focusing mirror. NC-LWE technique is non-contact removal process using chemical reaction between etchant and surface of workpiece, so this technique enables us to figure the objective shape without introduction both substrate deformation and sub-surface damage. It is essential to measure temperature and concentration of the etchant to maintain the material removal rate constant over a processing time, since the etching rate of NC-LWE strongly depends on these parameters. Hydrofluoric (HF) acid solution is used as an etchant for synthesized quartz glass. We aim to develop an in situ monitoring system of etchant concentration using Raman spectroscopy and electric conductivity measurement. Raman spectroscopy measurement result indicates that there is a good linear relationship between HF concentration and intensity ratio of two specific Raman bands.
APA, Harvard, Vancouver, ISO, and other styles
11

Mileham, J. R., S. J. Pearton, C. R. Abernathy, J. D. MacKenzie, R. J. Shul, and S. P. Kilcoyne. "Wet chemical etching of AlN." Applied Physics Letters 67, no. 8 (August 21, 1995): 1119–21. http://dx.doi.org/10.1063/1.114980.

Full text
APA, Harvard, Vancouver, ISO, and other styles
12

Oshima, Takayoshi, Takeya Okuno, Naoki Arai, Yasushi Kobayashi, and Shizuo Fujita. "Wet Etching of β-Ga2O3Substrates." Japanese Journal of Applied Physics 48, no. 4 (April 6, 2009): 040208. http://dx.doi.org/10.1143/jjap.48.040208.

Full text
APA, Harvard, Vancouver, ISO, and other styles
13

Hao, Yuhua, and Xia Wang. "Effects of the Photoelectrochemical Etching in Hydrogen Fluride (HF) on the Optoelectrical Properties of Ga2O3." Journal of Physics: Conference Series 2112, no. 1 (November 1, 2021): 012006. http://dx.doi.org/10.1088/1742-6596/2112/1/012006.

Full text
Abstract:
Abstract Photoelectrochemical (PEC) etching is preferred to produce micro-and nano-structures for constructing Ga2O3-based electronics and optoelectronics, owing to its numerous controllable parameters. During the devices fabrications, beyond the wet chemical and dry (plasma) etching produces, PEC etching also leads to device degradations inordinately. In this work, the Ga2O3 thin film was PEC etched by hydrogen fluride (HF) etchant, and its opto-electric deep-ultraviolet sensing performances, including photo-to-dark current ratio, responsivity, and response speed, before and after PEC etching were analyzed and discussed.
APA, Harvard, Vancouver, ISO, and other styles
14

Radjenovic, Branislav, and Marija Radmilovic-Radjenovic. "Level set simulations of the anisotropic wet etching process for device fabrication in nanotechnologies." Chemical Industry 64, no. 2 (2010): 93–97. http://dx.doi.org/10.2298/hemind100205008r.

Full text
Abstract:
Chemical etching is employed as micromachining manufacturing process to produce micron-size components. As a semiconductor wafer is extremely expensive due to many processing steps involved in the making thereof, the need to critically control the etching end point in an etching process is highly desirable. It was found that not only the etchant and temperature determine the exact anisotropy of etched silicon. The angle between the silicon surface and the mask was also shown to play an important role. In this paper, angular dependence of the etching rate is calculated on the base of the silicon symmetry properties, by means of the interpolation technique using experimentally obtained values of the principal <100>, <110>, <111> directions in KOH solutions. The calculations are performed using an extension of the sparse field method for solving three dimensional (3D) level set equations that describe the morphological surface evolution during etching process. The analysis of the obtained results confirm that regardless of the initial shape the profile evolution ends with the crystal form composed of the fastest etching planes, {110} in our model.
APA, Harvard, Vancouver, ISO, and other styles
15

SATO, Kazuya. "Application of Wet Etching. Etching Technology for Various Plastics." Journal of the Surface Finishing Society of Japan 49, no. 10 (1998): 1044–51. http://dx.doi.org/10.4139/sfj.49.1044.

Full text
APA, Harvard, Vancouver, ISO, and other styles
16

Kashkoush, Ismail, Jennifer Rieker, Gim Chen, and Dennis Nemeth. "Process Control Challenges of Wet Etching Large MEMS Si Cavities." Solid State Phenomena 219 (September 2014): 73–77. http://dx.doi.org/10.4028/www.scientific.net/ssp.219.73.

Full text
Abstract:
Anisotropic etching of silicon refers to the directional-dependent etching, usually by alkaline etchants like aqueous KOH, TMAH and other hydroxides like NaOH. With the strong dependence of the etch rate on crystal orientation and on etchant concentration and temperature, a large variety of silicon structures can be fabricated in a highly controllable and reproducible manner. Hence, anisotropic etching of <100> silicon has been a key process in common MEMS based technologies for realizing 3-D structures [1-4]. These structures include V-grooves for transistors, small holes for ink jets and diaphragms for MEMS pressure sensors as shown in Figure 1 [1]. The actual reaction mechanism has not been well understood and comprehensive physical and chemical models for the process have not yet been developed. With increasing numbers of MEMS applications, interest has grown in recent years for process modelling, simulation and software tools useful for the prediction of etched surface profiles [4-6].
APA, Harvard, Vancouver, ISO, and other styles
17

Che, Woo Seong, Chang Gil Suk, Tae Gyu Park, Jun Tae Kim, and Jun Hyub Park. "The Improvement of Wet Anisotropic Etching with Megasonic Wave." Key Engineering Materials 297-300 (November 2005): 557–61. http://dx.doi.org/10.4028/www.scientific.net/kem.297-300.557.

Full text
Abstract:
A new method to improve the wet etching characteristics is described. The anisotropic wet-etching of (100) Si with megasonic wave has been studied in KOH solution. Etching characteristics of p-type (100) 6inch Si have been explored with and without megasonic irradiation. It has been observed that megasonic irradiation improves the characteristics of wet etching such as the etch rate, etch uniformity, surface roughness. The etching uniformity was less than ±1% on the whole wafer. The initial root-mean-squre roughness(Rrms) of single crystal silicon is 0.23nm [1]. It has been reported that the roughnesses with magnetic stirring and ultrasonic agitation were 566nm and 66nm [3]. But with megasonic irradiation, the Rrms of 1.7nm was achieved for the surface of 37µm depth. Wet etching of silicon with megasonic irradiation can maintain nearly the original surface roughness during etching. The results have verified that the megasonic irradiation is an effective way to improve the etching characteristics - the etch rate, etch uniformity and surface roughness.
APA, Harvard, Vancouver, ISO, and other styles
18

Shang, Ying-Qi, Hong Qi, Yun-Long Ma, Ya-Lin Wu, Yan Zhang, and Jing Chen. "Study on sapphire microstructure processing technology based on wet etching." International Journal of Modern Physics B 31, no. 07 (March 19, 2017): 1741004. http://dx.doi.org/10.1142/s0217979217410041.

Full text
Abstract:
Aiming at the problem that sapphire surface roughness is quite large after wet etching in sapphire microstructure processing technology, we optimize the wet etching process parameters, study on the influences of concentration and temperature of etching solution and etching time on the sapphire surface roughness and etching rate, choose different process parameters for the experiment and test and analyze the sapphire results after wet etching. Aiming at test results, we also optimize the process parameters and do experiment. Experimental results show that, after optimizing the parameters of etching solution, surface roughness of etched sapphire is 0.39 nm, effectively with reduced surface roughness, improved light extraction efficiency and meeting the production requirements of high-precision optical pressure sensor.
APA, Harvard, Vancouver, ISO, and other styles
19

Edwards, Stephanie, Ryan Persons, Steve Feltham, Jeff Howerton, Geoffrey Lott, and Daniel Macko. "Laser Etching of Gold Conductors for RF Applications." International Symposium on Microelectronics 2019, no. 1 (October 1, 2019): 000373–80. http://dx.doi.org/10.4071/2380-4505-2019.1.000373.

Full text
Abstract:
Abstract Thick film customers who require fine line resolution for their circuitry typically utilize wet chemical etching as a means to reduce conductor's lines and spaces when fine line definition cannot be reliably attained with screen printing alone. Wet chemical etching typically has the means to reduce conductor line widths from a printed definition of 3 mil (75 μm) to as low as 1 mil (25 μm) lines and spaces. The process of performing this chemical etching is time consuming and costly when factoring in the necessary process limitations. With the issues presented by wet chemical etching, thick film customers are presented with a high process cost, yield loss due to the imaging process, and costly wastewater/environmental treatment regulations. Therefore, laser etching will be presented as an alternative method to wet chemical etching for various thick film conductor products. For many years, specialized gold formulations have been etched using typical wet chemical etching processes. Standard and less costly conductor alloys that typically would not be suitable for wet chemical etching will be explored, possibly opening the doors for a wide variety of different applications which would benefit from utilizing this laser etching method. By being able to utilize different conductor alloys (Ag, Cu, etc.), laser etching offers alternative solutions for some of these applications with the added benefit of improved cost and increased throughput. As an example, wet chemical processing of silver conductors has proven to be very challenging in some cases due to the metal form-factor and specialized glasses required. By having the option of laser ablating the silver, a potentially advantageous and cost-effective option would now be possible. Taking into account that laser etching of thick film conductors on ceramic is a relatively new method, this paper will concentrate on some of the opportunities/advantages it can offer. It will illustrate the boundaries of laser etching and how it compares to wet chemical etching while determining/comparing the impact on several properties including adhesion, signal propagation, line definition, and other important defining characteristics of the fired film in the final application.
APA, Harvard, Vancouver, ISO, and other styles
20

Su, Xiang Yong, Zhi Sheng Jing, Zhi Yong Cheng, Ze Long Zhou, and Bing Jie Zhu. "A Novel Method for Silicon-Beam Fabrication in Wet Etching." Applied Mechanics and Materials 536-537 (April 2014): 1407–10. http://dx.doi.org/10.4028/www.scientific.net/amm.536-537.1407.

Full text
Abstract:
Fabricating silicon-beam through the wet etching has been developed for many years, there are a lot of advantages during the wet etching, low cost, easy to obtain and so on. However the design and fabrication of silicon-beam with polygon section has been confined during the process of wet etching; In order to fabricate more kinds of silicon-beam with the advantages of the wet etching, a novel method to fabricate silicon-beam with polygon section is proposed. The fabricating process has been designed by taking advantage of the protection effect of the SiO2 layer.
APA, Harvard, Vancouver, ISO, and other styles
21

Leblois, Therese G., and C. R. Tellier. "Wet Etching of Si Micro-Arrays: Experimental and Theoretical Shapes." Advances in Science and Technology 54 (September 2008): 445–50. http://dx.doi.org/10.4028/www.scientific.net/ast.54.445.

Full text
Abstract:
In this paper emphasis is placed on the wet micromachining of silicon micro-arrays constituted by very small holes. Microfabrication of various Silicon plates is performed in a KOH etchant maintained at constant temperature. Limitations due to the process are given. A self elaborated simulator is used to predict etching shapes of several micro holes. A comparison between experiments and simulation is presented.
APA, Harvard, Vancouver, ISO, and other styles
22

Stocker, D. A., E. F. Schubert, K. S. Boutros, and J. M. Redwing. "Fabrication of Smooth GaN-Based Laser Facets." MRS Internet Journal of Nitride Semiconductor Research 4, S1 (1999): 799–804. http://dx.doi.org/10.1557/s1092578300003446.

Full text
Abstract:
A method is presented for fabricating fully wet-etched InGaN/GaN laser cavities using hotoenhanced electrochemical wet etching followed by crystallographic wet etching. Crystallographic wet chemical etching of n- and p-type GaN grown on c-plane sapphire is achieved using H3PO4 and various hydroxides, with etch rates as high as 3.2.μm/min. The crystallographic GaN etch planes are {0001}, {100}, {10}, {10}, and {103}. The vertical {100} planes appear perfectly smooth when viewed with a field-effect scanning electron microscope (FESEM), indicating a surface roughness less than 5 nm, suitable for laser facets. The etch rate and crystallographic nature for the various etching solutions are independent of conductivity, as shown by seamless etching of a p-GaN/undoped, high-resistivity GaN homojunction.
APA, Harvard, Vancouver, ISO, and other styles
23

Jin, Zhu, Yingying Liu, Ning Xia, Xiangwei Guo, Zijian Hong, Hui Zhang, and Deren Yang. "Wet etching in β-Ga2O3 bulk single crystals." CrystEngComm 24, no. 6 (2022): 1127–44. http://dx.doi.org/10.1039/d1ce01499d.

Full text
Abstract:
Wet etching is a simple and effective method to identify defects, fabricate patterns, and polish wafers of semiconductors. We highlight recent progress in wet etching of β-Ga2O3 substrates with an aim to comprehensively understand the etching behavior and mechanism.
APA, Harvard, Vancouver, ISO, and other styles
24

Du, Jia Qiang, Huan Liu, and Wei Guo Liu. "Wet Etching of Aluminum Periodic Patterns in Micrometer-Scale." Advanced Materials Research 662 (February 2013): 117–21. http://dx.doi.org/10.4028/www.scientific.net/amr.662.117.

Full text
Abstract:
In the process of deep etching of silicon, the metal film or the oxide film served as silicon protective layer needed to be etched before using plasma etching technology. In order to solve the etch rates variance of different aperture sizes and different pitchs periodic patterns, by controlling the water bath temperature and etching time, the etch rates of different aperture sizes and different pitchs periodic patterns at 50 degree centigrade had been developed. Also we contrasted the etching results at different bath temperatures and got the controllable and suitable wet etching bath temperature 50 degree centigrade. At last, the paper further explores the effects of feature size and the wet etching bath temperature on etch rate.
APA, Harvard, Vancouver, ISO, and other styles
25

Nie, Lei, Jun Xing Yu, and Kun Zhang. "Multilayer Masking Technique for Deep Isotropic Silicon Wet Etching." Applied Mechanics and Materials 229-231 (November 2012): 2444–47. http://dx.doi.org/10.4028/www.scientific.net/amm.229-231.2444.

Full text
Abstract:
A multilayer masking technique was presented aiming at the requirements of deep isotropic silicon wet etching. Because the processing time of deep etching is relatively long and etching rate is high, it is very hard to achieve satisfying etching result by using conventional photoresist or metal single layer mask. Thus multilayer mask consisting of photoresist and metal layers is fabricated to exert respective advantages and avoid disadvantages. Based on its excellent chemical and thermal stabilities and high viscosity, Su-8 was selected as the material of photoresist layer. The metal layer was fabricated by chromium because it could alleviate the undercut problem in great extent. Results of etching experiment indicated that no obvious defect of pinhole or crack was found on this multilayer mask after etching to the depth of about 300μm. Thus it is undoubted this masking technology is capable for deep silicon wet etching.
APA, Harvard, Vancouver, ISO, and other styles
26

Yao, Yong Zhao, Yukari Ishikawa, Yoshihiro Sugawara, Hiroaki Saitoh, Katsunori Danno, Hiroshi Suzuki, Yoichiro Kawai, and Noriyoshi Shibata. "Dislocation Revelation in Highly Doped N-Type 4H-SiC by Molten KOH Etching with Na2O2 Additive." Materials Science Forum 679-680 (March 2011): 290–93. http://dx.doi.org/10.4028/www.scientific.net/msf.679-680.290.

Full text
Abstract:
We have proposed a new wet etching recipe using molten KOH and Na2O2 as the etchant (“KN etching”) for dislocation revelation in highly doped n-type 4H-SiC (n+-4H-SiC). Threading screw dislocations (TSDs) and threading edge dislocations (TEDs) have been clearly revealed as hexagonal etch pits differing in pit sizes, and basal plane dislocations (BPDs) as seashell-shaped pits. This new etching recipe has provided a solution to the problem that conventional KOH etching is not effective for dislocation identification in 4H-SiC if the electron concentration is high (>mid-1018 cm-3). We have investigated the effect of SiC off-cut angle on KN etching and it has been shown that the “KN etching” is applicable for the n+-SiC substrate with off-angle from 0o to 8o.
APA, Harvard, Vancouver, ISO, and other styles
27

TSUKUI, Yutaka. "Application of Wet Etching. Etching of Various Plated Metal Film." Journal of the Surface Finishing Society of Japan 49, no. 10 (1998): 1057–60. http://dx.doi.org/10.4139/sfj.49.1057.

Full text
APA, Harvard, Vancouver, ISO, and other styles
28

Ko, Ki Hyung, Myung Geun Song, Byung Kwon Cho, Bo Un Yoon, Yu Jin Cho, and Tae Sung Kim. "Retardation Phenomenon of Oxide Removal during the Formation of Dual Gate Oxide via PR-Mask Wet Etching." Solid State Phenomena 219 (September 2014): 24–27. http://dx.doi.org/10.4028/www.scientific.net/ssp.219.24.

Full text
Abstract:
PR-mask oxide wet etching process is generally applied for the formation of dual gate oxide (Gox) transistor (TR) with different thickness of gate oxide. Oxide residues, which could not be removed properly with conventional wet etching process by dHF was observed when PR rework process by strip with SPM and SC1 was preceded before oxide wet etching. The root cause on this oxide removal retardation issue was studied by XPS for the analysis of surface element, SEM for the observation of surface morphology and optical spectroscopy for the measurement of thickness of oxide. It was found that PR rework process is main factor for oxide residue, because no unetched oxide layer was observed after dHF etching if there was no PR rework. A model test showed that when NH4OH component was included during PR rework process, retardation of oxide etching was occurred. The abnormal high content of carbon ingredient on oxide surface after NH4OH treatment with SC1 or NH4OH only solution shows that some kind of blocking layer generated upon adsorbed NH4OH molecules on oxide surface may hinder oxide wet etching by HF. It is postulated that anionic molecules such as PAG (photoacid generator) or anionic surfactant arisen from PR developing process may be able to combine with NH4OH molecules, forming complex layer by electrostatic interaction. This assumption was clearly verified that no oxide residues was found after dHF etching if ozonated water (O3 DIW) treatment was applied between PR developing and oxide wet etching step, since O3DIW can remove organic component with high efficiency, resulting the elimination of blocking layer for wet etching process.
APA, Harvard, Vancouver, ISO, and other styles
29

Matocha, Kevin, Chris S. Cowen, Richard Beaupre, and Jesse B. Tucker. "Effect of Reactive-Ion Etching on Thermal Oxide Properties on 4H-SiC." Materials Science Forum 527-529 (October 2006): 983–86. http://dx.doi.org/10.4028/www.scientific.net/msf.527-529.983.

Full text
Abstract:
4H-SiC MOS capacitors were used to characterize the effect of reactive-ion etching of the SiC surface on the electrical properties of N2O-grown thermal oxides. The oxide breakdown field reduces from 9.5 MV/cm with wet etching to saturate at 9.0 MV/cm with 30% reactive-ion over-etching. Additionally, the conduction-band offset barrier height, φB, progressively decreases from 2.51 eV with wet etching to 2.46 eV with 45% reactive-ion over-etching.
APA, Harvard, Vancouver, ISO, and other styles
30

Chen, Long Long, Xi Feng Li, Ji Feng Shi, Hao Zhang, Chun Ya Li, and Jian Hua Zhang. "Analysis of Wet Etching Characteristics of a-IGZO Thin Film." Advanced Materials Research 476-478 (February 2012): 2339–43. http://dx.doi.org/10.4028/www.scientific.net/amr.476-478.2339.

Full text
Abstract:
Amorphous InGaZnO (a-IGZO) films are deposited on the glass substrate by RF sputtering and the influence of wet etching of a-IGZO films, etching rate, over etching features and TFT structure chose are investigated. The results show that Oxalic acid is best chose for IGZO film etching for side etching is about 0.1um , etching rate is 7.42 A/s which is easy to control and taper angle is acute. The traditional G-I-D type structure has been confirmed is not fit for the condition where the IGZO based TFT manufacture. G-D-I structure is tested and can be used in the TFT array manufacture.
APA, Harvard, Vancouver, ISO, and other styles
31

Hirano, Tomoki, Kenya Nishio, Takashi Fukatani, Suguru Saito, Yoshiya Hagimoto, and Hayato Iwamoto. "Characterization of Wet Chemical Atomic Layer Etching of InGaAs." Solid State Phenomena 314 (February 2021): 95–98. http://dx.doi.org/10.4028/www.scientific.net/ssp.314.95.

Full text
Abstract:
In this work, we characterized the wet chemical atomic layer etching of an InGaAs surface by using various surface analysis methods. For this etching process, H2O2 was used to create a self-limiting oxide layer. Oxide removal was studied for both HCl and NH4OH solutions. Less In oxide tended to remain after the HCl treatment than after the NH4OH treatment, so the combination of H2O2 and HCl is suitable for wet chemical atomic layer etching. In addition, we found that repetition of this etching process does not impact on the oxide amount, surface roughness, and interface state density. Thus, nanoscale etching of InGaAs with no impact on the surface condition is possible with this method.
APA, Harvard, Vancouver, ISO, and other styles
32

Shiman, O., V. Gerbreders, E. Sledevskis, and A. Bulanovs. "Selective Wet-Etching of Amorphous/Crystallized Sb-Se Thin Films." Latvian Journal of Physics and Technical Sciences 49, no. 2 (January 1, 2012): 45–50. http://dx.doi.org/10.2478/v10047-012-0010-8.

Full text
Abstract:
Selective Wet-Etching of Amorphous/Crystallized Sb-Se Thin Films The paper is focused on the development of an in situ real-time method for studying the process of wet chemical etching of thin films. The results of studies demonstrate the adequate etching selectivity for all thin film SbxSe100-x (x = 0, 20, 40, 50, 100) compositions under consideration. Different etching rates for the as-deposited and laser exposed areas were found to depend on the sample composition. The highest achieved etching rate was 1.8 nm/s for Sb40Se60 samples.
APA, Harvard, Vancouver, ISO, and other styles
33

Shubny, A. G., E. O. Epifanov, N. V. Minaev, and V. I. Yusupov. "Thermoplasmonic laser-induced backside wet etching." Journal of Laser Applications 34, no. 3 (August 2022): 032016. http://dx.doi.org/10.2351/7.0000657.

Full text
Abstract:
The article is devoted to one of the effective technologies for processing solid transparent materials—thermoplasmonic laser-induced backside wet etching (TP LIBWE). This technology involves aqueous solutions of metal precursors as a working medium. The dependence of the efficiency of sapphire TP LIBWE micromachining on the parameters of laser action is studied with the aqueous solution of the AgNO3 precursor as a working media. The near-optimal range of laser intensities from the point of the etching speed and quality is found. Utilizing the optoacoustic methods, high-speed video, and an optical integrating sphere, the initial stage of the TP LIBWE process is studied in detail. A four-stage model of the TP LIBWE beginning process is proposed, which explains the effects from the beginning of Ag nanoparticle formation in the region of laser exposure to the transition of the TP LIBWE process to a stationary laser microstructuring mode. It is shown that effective microstructuring occurs due to the appearance on the sapphire surface of a thin modified layer in the region of laser action. This thin modified layer is an amorphous Al2O3 with numerous plasmonic Ag nanoparticles inside it and at the sapphire/liquid interface.
APA, Harvard, Vancouver, ISO, and other styles
34

UEHARA, Yoichi. "Application of Wet Etching. Making Nameplate." Journal of the Surface Finishing Society of Japan 49, no. 10 (1998): 1052–56. http://dx.doi.org/10.4139/sfj.49.1052.

Full text
APA, Harvard, Vancouver, ISO, and other styles
35

Stocker, D. A., E. F. Schubert, and J. M. Redwing. "Crystallographic wet chemical etching of GaN." Applied Physics Letters 73, no. 18 (November 2, 1998): 2654–56. http://dx.doi.org/10.1063/1.122543.

Full text
APA, Harvard, Vancouver, ISO, and other styles
36

Kropewnicki, Thomas J., W. Alan Doolittle, Carrie Carter‐Coman, Sangboem Kang, Paul A. Kohl, Nan Marie Jokerst, and April S. Brown. "Selective Wet Etching of Lithium Gallate." Journal of The Electrochemical Society 145, no. 5 (May 1, 1998): L88—L90. http://dx.doi.org/10.1149/1.1838496.

Full text
APA, Harvard, Vancouver, ISO, and other styles
37

McAndrews, Kevin, and Peter C. Sukanek. "Nonuniform Wet Etching of Silicon Dioxide." Journal of The Electrochemical Society 138, no. 3 (March 1, 1991): 863–66. http://dx.doi.org/10.1149/1.2085694.

Full text
APA, Harvard, Vancouver, ISO, and other styles
38

Park, P. Y., S. Norasetthekul, K. P. Lee, K. H. Baik, B. P. Gila, J. H. Shin, C. R. Abernathy, F. Ren, E. S. Lambers, and S. J. Pearton. "Wet and dry etching of Sc2O3." Applied Surface Science 185, no. 1-2 (December 2001): 52–59. http://dx.doi.org/10.1016/s0169-4332(01)00593-1.

Full text
APA, Harvard, Vancouver, ISO, and other styles
39

Zhou, B., and W. F. Ramirez. "Modeling and Control of Wet Etching." IFAC Proceedings Volumes 29, no. 1 (June 1996): 695–700. http://dx.doi.org/10.1016/s1474-6670(17)57742-3.

Full text
APA, Harvard, Vancouver, ISO, and other styles
40

Gueorguiev, V. K., L. I. Popova, G. D. Beshkov, and N. A. Tomajova. "Wet etching of thin SnO2 films." Sensors and Actuators A: Physical 24, no. 1 (May 1990): 61–63. http://dx.doi.org/10.1016/0924-4247(90)80049-b.

Full text
APA, Harvard, Vancouver, ISO, and other styles
41

Nishida, T., and T. Tamamura. "Microloading Effect in InP Wet Etching." Journal of The Electrochemical Society 140, no. 8 (August 1, 1993): 2414–21. http://dx.doi.org/10.1149/1.2220835.

Full text
APA, Harvard, Vancouver, ISO, and other styles
42

Lee, J. W., S. J. Pearton, C. R. Abernathy, W. S. Hobson, F. Ren, and C. S. Wu. "Wet Chemical Etching of Al0.5In0.5 P." Journal of The Electrochemical Society 142, no. 6 (June 1, 1995): L100—L102. http://dx.doi.org/10.1149/1.2044249.

Full text
APA, Harvard, Vancouver, ISO, and other styles
43

Shikida, Mitsuhiro. "Anisotropic Wet Etching for Micro-Fabrication." IEEJ Transactions on Sensors and Micromachines 128, no. 9 (2008): 341–46. http://dx.doi.org/10.1541/ieejsmas.128.341.

Full text
APA, Harvard, Vancouver, ISO, and other styles
44

Richard, Claire Therese, M. M. Frank, Pascal Besson, E. Serret, N. Hotellier, Alessio Beverina, L. Dumas, Lucile Broussous, F. Kovacs, and Thierry Billon. "Barrier and Copper Seedlayer Wet Etching." Solid State Phenomena 103-104 (April 2005): 361–64. http://dx.doi.org/10.4028/www.scientific.net/ssp.103-104.361.

Full text
Abstract:
This paper summarizes the process development of TiN barrier etching in presence of copper, for a thick copper level in BICMOS technology. In an industrial context, we have chosen to use a SC1 chemistry in a spin etch single wafer tool. The SC1 composition and therefore the pH level allows - the barrier to be etched with no metallic residues, ( if not clear this can be a source for shorts) - control of the selectivity between copper and TiN - control of lateral etching under copper lines, the possible source of open chains by W attack during TiN etch. The electrical results show a robust process according to current specifications, in terms of leakage and via resistance with a fresh chemistry approach. In fact, the recirculation of SC1 is not possible due to substantial concentration changes during processing, high evaporation rate of Ammonia and high decomposition rate of Peroxide in the presence of copper on surface wafer.
APA, Harvard, Vancouver, ISO, and other styles
45

Lamichhane, Shobha Kanta. "Experimental investigation on anisotropic surface properties of crystalline silicon." BIBECHANA 8 (January 15, 2012): 59–66. http://dx.doi.org/10.3126/bibechana.v8i0.4828.

Full text
Abstract:
Anisotropic etching of silicon has been studied by wet potassium hydroxide (KOH) etchant with its variation of temperature and concentration. Results presented here are temperature dependent etch rate along the crystallographic orientations. The etching rate of the (111) surface family is of prime importance for microfabrication. However, the experimental values of the corresponding etch rate are often scattered and the etching mechanism of (111) remains unclear. Etching and activation energy are found to be consistently favorable with the thermal agitation for a given crystal plane. Study demonstrate that the contribution of microscopic activation energy that effectively controls the etching process. Such a strong anisotropy in KOH allows us a precious control of lateral dimensions of the silicon microstructure.Keywords: microfabrication; activation energy; concentration; anisotropy; crystal planeDOI: http://dx.doi.org/10.3126/bibechana.v8i0.4828 BIBECHANA 8 (2012) 59-66
APA, Harvard, Vancouver, ISO, and other styles
46

Kamaljeet Singh and S. V. Sharma. "Thin Film Based Micro-Machined Sensor Development and Mitigation of Process Challenges." Nano- i Mikrosistemnaya Tehnika 23, no. 1 (February 24, 2021): 44–46. http://dx.doi.org/10.17587/nmst.23.44-46.

Full text
Abstract:
Realization of thin film based sensor employing bulk micro-machined process using wet chemistry is challenging due to lateral etching phenomena. This phenomenon of convex corner undercutting is associated with wet micromachining process where differential etching is required. The challenge of having differential etching to achieve varied depth in close vicinity imposes extra constraint on the process. The present requirement simultaneously etching of closely spaced tunnel and cavity from back side having varied depth keeping intact front side layers is the main bottleneck which was circumvented with modified process. Etching trials related combining wet and dry etching techniques for deeper etching are also carried out and critical aspects are presented in this article. Another aspect is to define process steps compatible with CMOS integration. Further study of piezo-electric layer and etch rate of various deposited layer are also presented. This article details process trials, challenges, mitigation techniques and realization aspects of the micro-machined based sensor.
APA, Harvard, Vancouver, ISO, and other styles
47

Capecchi, Simone, Tanya Atanasova, Reiner Willeke, Michael Parthenopoulos, Christian Pizzetti, and Jerome Daviot. "Low Undercut Ti Etch Chemistry for Cu Bump Pillar under Bump Metallization Wet Etch Process." Solid State Phenomena 255 (September 2016): 291–96. http://dx.doi.org/10.4028/www.scientific.net/ssp.255.291.

Full text
Abstract:
This paper demonstrates how a low undercut Ti etchant developed by Technic France can be successfully introduced in a high volume manufacturing Fab for etching the under bump metallization (UBM). The Ti etchant has been tested on 300mm wafer production equipment in GLOBALFOUNDRIES. The Ti etchant evaluation has been carried out in collaboration with the Fraunhofer IZM-ASSID institute.
APA, Harvard, Vancouver, ISO, and other styles
48

Liu, Ze Wen, Tian Ruo Zhang, Li Tian Liu, and Zhi Jian Li. "Realization of Silicon Nitride Template for Nanoimprint: A First Result." Solid State Phenomena 121-123 (March 2007): 669–72. http://dx.doi.org/10.4028/www.scientific.net/ssp.121-123.669.

Full text
Abstract:
A first result of realization of silicon nitride templates on 100mm silicon wafer as nanoinprint mold using simple wet etching method is reported in this paper. The process is based on traditional photolithograph and following buffer HF wet etching, which started from a p-type wafer with 400nm thermal silicon oxide, 200nm PECVD silicon nitride and 400nm PECVD silicon oxide sandwich layer. After patterning with lithography, the patterned resist is used as mask for the isotropic underlayer wet etching of silicon dioxide with buffer HF solution. Using the obtained nanosacle silicon dioxide lines as RIE dry etching mask, silicon nitride template of 100nm width with steep sidewalls is successfully realized.
APA, Harvard, Vancouver, ISO, and other styles
49

Lei, Rui, Wei Guo Liu, Chang Long Cai, Shun Zhou, Jing Nie, and Xuan Yang Wang. "Study on the Etching Technique of Non-Photosensitive Polyimide." Key Engineering Materials 645-646 (May 2015): 163–68. http://dx.doi.org/10.4028/www.scientific.net/kem.645-646.163.

Full text
Abstract:
Polyimide is often used as a sacrificial layer material to make floating structure. Polyimide is also divided into photosensitive and non-photosensitive type; photosensitive polyimide currently has more negative photoresist and poor performance in many ways. Compared with photosensitive polyimide, the non-photosensitive type has low stress, stable performance and other advantages, so non-photosensitive polyimide has been chosen as a sacrificial layer material. To achieve the graphical function and release sacrificial layer, A deeply research was made in this dissertation makes on wet etching and dry etching. By controlling the wet etching process of prebake condition, exposure and developing time, and oxygen dry etching process of etching power, bias voltage and other key process parameters, a good sacrificial layer graph and etching effect have been got. Finally, it can be concluded that when the prebake conditions for 105°C, 8min and times of exposure and developing were 11s and 29s, the non-photosensitive polyimide wet etching effect is the best; when the etching power is 1000w, an oxygen flux rate is 50sccm, the reaction pressure is 30mTorr, the bias voltage is 140v, oxygen dry etching has a good effect.
APA, Harvard, Vancouver, ISO, and other styles
50

VOSHCHENKOV, ALEXANDER M. "FUNDAMENTALS OF PLASMA ETCHING FOR SILICON TECHNOLOGY (PART 1)." International Journal of High Speed Electronics and Systems 01, no. 03n04 (September 1990): 303–45. http://dx.doi.org/10.1142/s0129156490000149.

Full text
Abstract:
Over the past decade, as the rapid evolution of semiconductor technology has progressed towards submicron design rules, plasma (dry) etching has supplanted simple wet etching processes for the transfer of patterns. To understand the underlying need for development of plasma etching, a brief background of integrated semiconductor technology is presented. Along with a historical perspective of the evolution of plasma etching, the relationship of plasma etching to lithography needs, its basic characteristics and advantages over wet chemical processing are discussed. Following this, relevant concepts of plasma physics and chemistry, based on experience with plasma etching applications for silicon technology, which can be used as building blocks for technology development are described.
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography