Dissertations / Theses on the topic 'Titanium oxide'

To see the other types of publications on this topic, follow the link: Titanium oxide.

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 dissertations / theses for your research on the topic 'Titanium oxide.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse dissertations / theses on a wide variety of disciplines and organise your bibliography correctly.

1

Ki, Jun-Wan. "Titanium Sponge on Titanium Substrate for Titanium Electrolytic Capacitor Anodes." Case Western Reserve University School of Graduate Studies / OhioLINK, 2005. http://rave.ohiolink.edu/etdc/view?acc_num=case1113244951.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Boltz, Janika [Verfasser]. "Sputtered tin oxide and titanium oxide thin films as alternative transparent conductive oxides / Janika Boltz." Aachen : Hochschulbibliothek der Rheinisch-Westfälischen Technischen Hochschule Aachen, 2012. http://d-nb.info/1019850485/34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Burbidge, Douglas S. "Sputtering and characterizations of titanium oxide films." Thesis, University of British Columbia, 1985. http://hdl.handle.net/2429/25850.

Full text
Abstract:
Reactive magnetron sputtering of titanium oxides has been investigated with emphasis on the technique of using a reactive gas baffle to enhance film oxidation. This method consists of placing a partial barrier between the sputtering target and the substrate. For the first time the dependence of the effectiveness of this technique on the material being sputtered has been considered and the effects of various baffles were compared for the same material (TiO[sub x] ,0≤x≤2). Decreasing the transparency of the baffle to sputtered flux resulted in an increase in the maximum attainable oxygen partial pressure for the metallic target condition. However, electrical resistivity data for the sputtered films revealed that film oxidation was not correspondingly enhanced. The structural, electrical and optical properties of the sputtered titanium oxide films were measured.The films were found to be amorphous without discernible microstructure. The visible absorption band in substoichiometric films was found to have its maximum at 880 nm (1.41 eV) and the UV absorption edge was at 335 nm (3.70 eV). Based on reported photoluminescence in polycrystalline films of TiO₂ [39] an attempt was made to observe photoluminescence in amorphous films with negative results.
Science, Faculty of
Physics and Astronomy, Department of
Graduate
APA, Harvard, Vancouver, ISO, and other styles
4

Graves, John Edward. "The electrochemistry of titanium oxide ceramic electrodes." Thesis, University of Southampton, 1991. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.305486.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Russo, Manuela. "Titanium oxide hydrates : optical properties and applications." Thesis, Queen Mary, University of London, 2010. http://qmro.qmul.ac.uk/xmlui/handle/123456789/597.

Full text
Abstract:
TiO2 has been extensively studied in the last decades due to its interesting optical and electronic properties, which, combined with low fabrication costs, renders this material very attractive for applications in photovoltaic and photocatalysis. However, the performances of titania in specific device applications were found to be strongly dependent on the synthetic methods selected for its production. The majority of such synthetic procedures rely on the hydrolysis of suitable precursors and often produce an amorphous solid, generally referred as the “amorphous” titanium oxide beside the crystalline titania. In this thesis, we thus set out to investigate amorphous materials produced by the hydrolysis of titanium tetrachlorides and tetraisopropoxide. We show that these amorphous products consists of titanium oxide hydrates, which are relatively stable at room temperature and fully convert into crystalline titania only after extended temperature treatments. We also find that titanium oxide hydrates may display highly desirable characteristic such as a strong photochromic response – especially when placed in a suitable chemical environment. In the following chapter, we then show 3 that hybrid systems can be readily prepared of titanium oxide hydrates with, for instance, macromolecular materials such as poly(vinylalcohol). The amorphous nature of the titanium oxide hydrates allows to introduce more than 90 vol.% of the inorganic species into such systems – compared to 15 vol.% or less when producing hybrids comprising, e.g., crystalline nanoparticles of TiO2. Therefore, materials can be realized that display a refractive index n of at least 2.1, without compromising transparency of the resulting structures. Remarkably, n can not only be adjusted by varying the content of the inorganic species, but also through suitable heat treatments and/or irradiation with UV-light. Potential applications for such new, versatile and tunable optical systems are also discussed in this thesis.
APA, Harvard, Vancouver, ISO, and other styles
6

Liau, Forrest (Forrest W. ). "Virus-enabled synthesis of titanium oxide nanowires." Thesis, Massachusetts Institute of Technology, 2006. http://hdl.handle.net/1721.1/35061.

Full text
Abstract:
Thesis (S.B.)--Massachusetts Institute of Technology, Dept. of Materials Science and Engineering, 2006.
Includes bibliographical references (p. 21-23).
Bio-assisted materials fabrication methods allow for the production of high technology materials and devices at lower costs and with less environmental impact. To expand the biological toolkit for synthesizing materials, we demonstrated titanium oxide nanowire synthesis with use of engineered M13 virus at room temperature. In this virus-enabled synthesis process, negatively-charged titanium fluoro complexes nucleate at positive amine sites on the virus, and a subsequent anion-scavenging reaction drives the synthesis of titanium oxide on the virus. TEM imagery provided visual validation of the nanowire formation, and XRD analysis identified the crystalline structure as anatase.
by Forrest Liau.
S.B.
APA, Harvard, Vancouver, ISO, and other styles
7

Rosser, Paul John. "Titanium disilicide for VLSI applications." Thesis, University of Surrey, 1987. http://epubs.surrey.ac.uk/847968/.

Full text
Abstract:
This thesis demonstrates that the formation of titanium disilicide for gate level interconnects in silicon VLSI processes is possible, and is compatible with the processes considered. By using this new material the operating speed of fine geometry integrated circuits can be increased. The first two chapters consider the choice of titanium disilicide as a replacement for polysilicon. A process schedule is developed which enables the deposition and annealing of cosputtered films of titanium and silicon. By carefully controlling their deposition, cosputtered films have been annealed in both standard diffusion furnaces and also in rapid isothermal anneal (RIA) systems. This success in annealing titanium disilicide films in a RIA system is a world first. Next a process schedule for the deposition and anneal of titanium films over silicon is determined. The reaction of the film with the anneal ambient and the movement of impurities inevitably present in the titanium film is considered in some detail. This work was the first to highlight the benefits gained from the use of nitrogen as the anneal ambient. Self-aligned processes rely on the interaction between titanium and silicon dioxide being negligible. The silicide formation anneal is therefore optimised to minimise this. Finally, reaction of the silicide with common dopants and with both oxidising and nitriding ambients is presented. A novel method of forming a titanium nitride over silicide contact structure is developed. In summary, this thesis demonstrates how a titanium disilicide based metallisation can be implemented into an existing MOS process.
APA, Harvard, Vancouver, ISO, and other styles
8

Blackwood, D. J. "Anodic oxide films on titanium in acidic media." Thesis, University of Southampton, 1986. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.374565.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Källquist, Ida. "Lithium titanium oxide materials for hybrid supercapacitor applications." Thesis, Uppsala universitet, Strukturkemi, 2016. http://urn.kb.se/resolve?urn=urn:nbn:se:uu:diva-301977.

Full text
Abstract:
The objective of this thesis was to investigate the suitability of some different Li4Ti5O12 materials as a negative electrode in hybrid supercapacitors. A hybrid supercapacitor is a combination of a battery and an electric double-layer capacitor that uses both a battery material and a capacitor material in the same device. The target for these combination devices is to bridge the performance gap between batteries and capacitors and enable both high energy and power density. To achieve this, materials with high capacity as well as high rate capability are needed. To improve the rate of the commonly slow battery materials nanosizing has been found to be an effective solution. This study shows that Li4Ti5O12 has a significantly higher experimental capacity than the most common capacitor material, activated carbon. The capacity remained high even at high discharge rates due to a successful nanostructuring that increased the accessibility of the material and shortened the diffusion distance for the ions, leading to a much improved power performance compared with the bulk material. The use of a nanostructured Li4Ti5O12 material in a hybrid device together with activated carbon was estimated to double the energy density compared to an electric double-layer capacitor and maintain the same good power performance. To further increase the energy density also improved materials for the positive electrode should be investigated.
APA, Harvard, Vancouver, ISO, and other styles
10

Wang, Haoran. "Preparation of Titanium Oxide/Epoxy Hybrid Anticorrossive Coating." University of Akron / OhioLINK, 2016. http://rave.ohiolink.edu/etdc/view?acc_num=akron1480326524997686.

Full text
APA, Harvard, Vancouver, ISO, and other styles
11

Cottam, Ben Francis. "The synthesis of one-dimensional titanium oxide nanostructures." Thesis, Imperial College London, 2008. http://hdl.handle.net/10044/1/11985.

Full text
Abstract:
My work has been focussed on the synthesis of titanium oxide (Ti02) nanostructures. Commercially available Ti02 is widely used in applications such as self-cleaning surfaces, water purification devices and solar cells. However, a new generation of Ti02particles offers high crystallinity, monodispersity, and well defined . geometry. High aspect ratio particles are likely to offer advantages in terms of transport properties and accessibility to other phases. I have investigated three distinct strategies for the preparation of Ti02 nanorods. The first involves a hydrolysis of titanium tetraisopropoxide (TrIP), with oleic acid as a surfactant, which prodUces anatase Ti02nanorods of -3 nm diameter and -30 nm in length. This reaction was performed both on the bulk seale, with a standard flask and manifold set-up, and also in the highly controlled environment of microfluidic chips. The use of continuous-flow, microfluidic devices confers several advantages over conventional macroscale techniques, including high surface area-ta-volume ratios and reduced diffusional dimensions. Our studies show a roughly ten-fold increase in reaction rate when the hydrolysis is performed onchip, as opposed to in a flask. As an alternative, a non-hydrolytic synthesis of Ti02nanorods was performed, via the reaction of TrIP and TiCI 4 in the presence of oleic acid. The non-hydrolytic reaction provides scope for higher reaction temperatures, different surface functionalities, and different reaction rates. The anatase nanorods obtained were -S nm in diameter and -SO nm in length. The reaction conditions (time of reaction, temperature, mode of addition of TiCI4) were optimised for purity, crystallinity and monodispersity. The third synthetic technique relied on the conversion of aligned multi-walled carbon nanotubes (MWCNTs) into rutile Ti02nanorods. The MWCNTs were grown via a standard CVD process in which a -3% ferrocenein xylene solution is gradually pumped into a quartz tube at -760��?���°C. The MWCNTs were then reacted with a volatile titanium iodide, generated in situ, at high temperature (800 -1200 ��?���°C) and reduced . pressure, to produce titanium carbide (TiC) nanorods with similar dimensions. Oxidation of the TiC at 800��?���°C, converts it to rutile Ti02nanorods. The resulting nanostructures are -SO nm in diameter and up to -SOO /-1m in lellgth, and composed of pure, polycrystaJline rutile .The process preserves the unidirectional alignment of the original nanotubes, which may be useful for applications.
APA, Harvard, Vancouver, ISO, and other styles
12

Kopecek, Radovan. "Electrolysis of Titanium in Heavy Water." PDXScholar, 1995. https://pdxscholar.library.pdx.edu/open_access_etds/5023.

Full text
Abstract:
The purpose of these studies was to determine if results similar to those of Fleischmann and Pons could be obtained using a titanium cathode instead of palladium in an electrolysis in a heavy water cell. The electrolyte consists of D20 and H2S04• Two experiments have been performed to examine the features of this electrolysis. As titanium shows the same properties to attract hydrogen, it seemed possible that excess heat could be produced. Radiation was monitored, and the surface of the titanium cathode was examined before and after electrolysis for any changes in the morphology and composition, hoping to discover new elements that can be created only by fusion reactions in the cell, i.e. by transmutation. The heat and radiation effects have been evaluated in comparison to a control cell, using the same electrolyte and current. The only difference was the cathode, which was of platinum. It appears that excess heat is produced during electrolyses of heavy water with a titanium cathode. The amount of this excess heat was 750 cal in a one hour period, an energy gain of 44%. No significant emission of any of the products associated with a "classical" deuterium-deuterium fusion was observed during either experiment, i.e. heat but no radiation. Unexpected elements were found in both experiments, i.e. K. Cr, Fe, Ni and Zn. Remarkable is the fact that the new elements always occur very close in the periodic table to an impurity element, i.e. Cu and Zn.
APA, Harvard, Vancouver, ISO, and other styles
13

Subramaniam, Srinivas. "Systems with barium oxide and titanium oxide additions in the near stoichiometric region." Cincinnati, Ohio : University of Cincinnati, 2002. http://rave.ohiolink.edu/etdc/view?acc%5Fnum=ucin1047057044.

Full text
APA, Harvard, Vancouver, ISO, and other styles
14

Wang, Gang. "Apatite forming ability of alkali-treated titanium oxide-coated pure titanium in simulated body environment." Thesis, National Library of Canada = Bibliothèque nationale du Canada, 2001. http://www.collectionscanada.ca/obj/s4/f2/dsk3/ftp04/MQ55937.pdf.

Full text
APA, Harvard, Vancouver, ISO, and other styles
15

Rodríguez, Rodríguez Juan Martín. "Sputter deposited titanium oxide films for photoelectrochemical water purification." Universidad Nacional de Ingeniería. Programa Cybertesis PERÚ, 2000. http://cybertesis.uni.edu.pe/uni/2000/rodriguez_rj/html/index-frames.html.

Full text
Abstract:
Non-stoichiometric polycrystalline Ti oxide thin films were prepared by reactive DC magnetron sputtering of Ti in Q2+Ar. Sputtered Ti oxide samples with four values of the film thickness were investigated by transmission electron microscopy (TEM) Cross-sections of (he specimens shows thai iheir most salienl feature is a parallel penniform structure extending over che fall cross-sections of the films. A very large intemal surface is manifest Rutile and anatase phase films were obtained by heating the substraia during the deposition. Incident photon-to-currem efficiency (IPCE) was studied as a function of several spuuenng parameters such as temperature of the substrate, film thickness, and 02/Ar gas flow ratio. The IPCE increased as the crystallinity of Ti oxide was systematically varied from amorphous to a mixture of analise and rutile, and it was also enhanced in films depositad at !ow O2/Ar ratios. The photogenerated current was found to be highly dependen on the composition, thickness, and structure of Ti oxide films. Optical constans of the films were determined from spectrophotometric measuremems of reflectante and transmittance. The amorphous films had a band gap of -3.4 cV and a wide absorption tail extending 10 lower energics. The crystalime films displayed a band gap of 3,3-3.35 eV and a more narrow absorption tail. The optical constants were dependem on film ihickness The crystalline films showed evidence of structural inhomogeneilics Icading to diffuse scatiering in the thicker films as well as to a grading of the refraciive Index. The complex dielectric permittivity £ was determined in the 10'3 to 107 H2 range from measurements using a capacitor configuration. The real and imaginary parts of s displayed power-law dependencies from - 10 Hz up to a value between 1 and 10 kHz, Our data could be rationalized in terms of a model for screened hopping of vacancy-inouced charge carriers, but details remain poorly undcrstood. The internal surface area was enhanced with increasing film ihickness, and that property could be correlated with an enlarged photoelectric conversión eficiency. Ti oxide films with thicknesses in the 1,9-8.0-mm range were sputter deposited orno etectrically conductive transparenl glass substrates. X-ray diftraction indicated a dominating rutile structure. The films were used to investigate photo-electrocatalytic degradation of 4-chlorophenol in water solution, employing a specially designed reactor. ITV light was used for (he photocatalysis, and a bias potential was applied for avoiding electron-hole recombination. The photocurrent and the kineiics of the catalytic reaaion were tested with potentiosiatic and spectrophotometric measurements, The reaction producis were investigated using different arrangements of a Ti oxide working electrode and a Pt counter electrode, IA irradiation through the gfass substrate yielded an enhancement of the reaction kinetics for increased film thicknesses and applied bias potential. X-ray diffractomeiry showed that nitile-like and anatase-Hke films were produced depending on the oxygen conteni in the sputter plasma. Rutherford backscatiering spectrometry documented some oxygen overstoichiometry. Spectral optical measurements were used to analyze the absorption around ihe fundamentari band gap and lo give evidence of some hydration and hydroxylation in ihe films. The various Ti oxide films were broughi in contact wiih 4--chlorophenol (4-CP), whose photo-electrocatlytically induced degradation under ukraviolet irradiation was investigated in a reacior allowing optical probing of 4-CP as well as of intermediate reaction products such as benzoquinone. A miile-üke structure was conductive to the degradation of 4-CP, which can be reconciled with the band gap being suitable for producing photoinduced heles capable 10 effecting oxidation of the pollutant.
APA, Harvard, Vancouver, ISO, and other styles
16

Bothma, Jan Andries. "Heat transfer through mould flux with titanium oxide additions." Diss., Pretoria : [s.n.], 2006. http://upetd.up.ac.za/thesis/available/etd-10182007-161313/.

Full text
APA, Harvard, Vancouver, ISO, and other styles
17

Onifade, Ayokola. "Enhanced deposition of titanium (IV) oxide for optical coatings." Thesis, University of Salford, 2006. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.492432.

Full text
Abstract:
TiO2 films are widely used as high refractive index dielectric layers in multi-layer optical devices and functional films. Indeed, the refractive index of titania is amongst the highest of the commonly used optical coating materials. Typical applications include low emissivity and solar control films, anti-reflection coatings and narrow bandpass filters. There are, though, two critical issues which affect the use of titania films in commercial products. First, it has a low deposition rate in comparison with other hi high index materials, such as Nb205. And, secondly, the optical properties of titania films, and their stability, are critically dependent on the film structure, which in turn, is dependent on the deposition conditions used.
APA, Harvard, Vancouver, ISO, and other styles
18

Choi, Soon Ju S. M. Massachusetts Institute of Technology. "Breakdown Anodization (BDA) for hierarchical structures of titanium oxide." Thesis, Massachusetts Institute of Technology, 2013. http://hdl.handle.net/1721.1/85503.

Full text
Abstract:
Thesis: S.M., Massachusetts Institute of Technology, Department of Mechanical Engineering, 2013.
Cataloged from PDF version of thesis.
Includes bibliographical references (pages 57-61).
Breakdown Anodization (BDA) of titanium dioxide is a very promising, fast fabrication method to construct micro-scale and nano-scale structures on titanium surfaces. This method uses environmentally friendly electrolytes, such as nitric acid, and can be fabricated within 30 minutes regardless of substrate size. Because the titanium dioxide is hydrophilic, the micro structured BDA surface exhibits super-hydrophilic properties. BDA surfaces can be applicable to water transport, chromatography, electrospray, and fabrication of hierarchically structured surfaces. In this study we investigate the wicking properties of BDA surfaces and quantify their performance in terms of capillary pressure and capillary spreading speed constant. Further, we investigate the application of BDA surfaces to electrospray for the separation and identification of chemical agents.
by Soon Ju Choi.
S.M.
APA, Harvard, Vancouver, ISO, and other styles
19

Valente, João Pedro Mire Dores Pulido. "Calcium copper titanium oxide thin films for microelectronic applications." Master's thesis, Universidade de Aveiro, 2010. http://hdl.handle.net/10773/7612.

Full text
Abstract:
Mestrado em Ciência de Materiais
Recent research has shown that the perovskite-related body-centred cubic material CaCu3Ti4O12 (CCTO) exhibit extraordinarily giant dielectric constant at room temperature (ε ≈ 104-105). Besides, these high dielectric constants were found to be nearly constant in the temperature range between 100 and 500K [1, 2]; which makes it even more attractive from the technological point of view. These properties are very important for device implementation and make CCTO a promising candidate for microelectronic applications (like decoupling capacitors, random access memories), microwave devices (for applications in mobile phones), antennas (for example, planar micro-strip antenna on CCTO substrate for 3-GHz operation) [3]. In the microelectronics device field, homogeneous and smooth thin films with colossal dielectric constant and with low dielectric loss are desirable. In the literature, only five reports on undoped CCTO films on silicon based substrates prepared by sol-gel method can be found. The majority of these authors did not present the dielectric and microstructural properties of the produced CCTO films. In this work, thin films of CCTO were prepared by sol-gel method by spin coating a nontoxic chemical solution on typical microelectronic substrates, Si (wafer) / SiO2 (300 nm) / TiO2 (20 nm) / Pt (150 nm). Two different precursor solutions were studied and optimized for film production. These two solutions differ mainly on the titanium precursor, although some preparation parameters where changed as well. One of the main objectives of the thesis was to develop nontoxic precursors for CSD method and accordingly, solutions were prepared without methoxyethanol (highly toxic). This constitutes a great improvement considering the good properties obtained for the 300-400 nm thick CCTO films prepared in this work: dielectric permittivity, ε of 500 and dielectric loss, tan of 0.19, for films derived from titanium butoxide precursor solutions (BUT-CCTO) and ε ≈ 620 and dielectric loss 0.18 for those derived from titanium isopropoxide precursor solutions (ISO-CCTO), all values at 1 kHz. In literature, toxic precursor solution of CCTO leads to films with values for dielectric permittivity of 1000-2000 and dielectric loss between 0.5 – 0.04 [52]. Best reports on nontoxic solutions for spin coating method presented dielectric constant (≈ 150-250) and losses around 0.2-0.5 [45]. The physical properties of the films were characterised. The structural and microstructural characterization was conducted via X-Ray Diffraction (XRD), Scanning Electron Microscopy (SEM) and Atomic Force Microscopy (AFM). [CALCIUM COPPER TITANIUM OXIDE THIN FILMS FOR MICROELECTRONIC APPLICATIONS] 10 For the electrical characterization the dielectric constant and dielectric losses were measured at room temperature in the range 100 Hz-1 MHz. AFM microstructure and especially potential images, confirmed IBLC model for conduction, since grain and grain boundaries presented different potentials due to their different electrical behaviour. This result was obtained for every sample made with both solutions. Grain size has a considerable influence on the dielectric properties of the thin films. grain films present high dielectric constant and high dielectric loss. Small grain origins lower dielectric constant but also low dielectric loss. In this work and based on IBLC model, it was found that grain and high grain boundaries density will guarantee good permittivity according with [6, 9], although with grain size increase, grain boundaries density decrease. An intermediate stage for grain size must be achieved depending on the solution used. Considering the dielectric loss, it was found to respect mainly to grain boundaries. High density of grain boundaries promotes second phase segregation (TiO2) due to low temperature heat treatments and worst insulator behaviour [18,24]. For one side, high density will lower dielectric loss confirming [6,13], on the other side, second phase segregation will increase it, as reported in [18, 24]. A compromise between the capacity of the semiconductor grains to admit charges and the resistivity of the insulator grain boundaries must be achieved to obtain good quality CCTO thin films. The admission of charges by the grain is controlled by the grain size (heat treatment procedure) meanwhile the current density of the grain boundaries is controlled by second phase segregation (solution procedure) and grain boundaries density (heat treatment procedure). As a final output of this work a new non-toxic precursor solution was developed as an alternative way for preparing CCTO thin films of high dielectric constant for microelectronic applications.
APA, Harvard, Vancouver, ISO, and other styles
20

Gunnarsson, Rickard. "Titanium oxide nanoparticle production using high power pulsed plasmas." Licentiate thesis, Linköpings universitet, Plasma och beläggningsfysik, 2016. http://urn.kb.se/resolve?urn=urn:nbn:se:liu:diva-128622.

Full text
Abstract:
This thesis covers fundamental aspects of process control when growing titanium oxide nanoparticles in a reactive sputtering process. It covers the influence of oxygen containing gas on the oxidation state of the cathode from which the growth material is ejected, as well as its influence on the particles oxidation state and their nucleation. It was found that a low degree of reactive gases was necessary for nanoparticles of titanium to nucleate. When the oxygen gas was slightly increased, the nanoparticle yield and particle oxygen content increased. A further increase caused a decrease in particle yield which was attributed to a slight oxidation of the cathode. By varying the oxygen flow to the process, it was possible to control the oxygen content of the nanoparticles without fully oxidizing the cathode. Because oxygen containing gases such as residual water vapour has a profound influence on nanoparticle yield and composition, the deposition source was re-engineered to allow for cleaner and thus more stable synthesis conditions. The size of the nanoparticles has been controlled by two means. The first is to change electrical potentials around the growth zone, which allows for nanoparticle size control in the order of 25-75 nm. This size control does not influence the oxygen content of the nanoparticles. The second means of size control investigated was by increasing the pressure. By doing this, the particle size can be increased from 50 – 250 nm, however the oxygen content also increases with pressure. Different particle morphologies were found by changing the pressure. At low pressures, mostly spherical particles with weak facets were produced. As the pressure increased, the particles got a cubic shape. At higher pressures the cubic particles started to get a fractured surface. At the highest pressure investigated, the fractured surface became poly-crystalline, giving a cauliflower shaped morphology.
APA, Harvard, Vancouver, ISO, and other styles
21

Munktell, von Fieandt Sara. "Controlled interlayer between titanium carbon-nitride and aluminiumoxide." Thesis, Uppsala universitet, Institutionen för materialkemi, 2011. http://urn.kb.se/resolve?urn=urn:nbn:se:uu:diva-161088.

Full text
Abstract:
In the industry of metal cutting tools the conditions are extreme; the temperature can vary thousand degrees rapidly and the pressure can be tremendously high. To survive this kind of stress the cutting tool must be both hard and tough. In order to obtain these properties different coatings are used on a base of cemented carbide, WC-Co. Common coatings are hard ceramics like titanium nitride and titanium carbon-nitride with an outer layer of aluminium oxide. In this thesis the possibility of using titanium dioxide as an interlayer between titanium carbon-nitride and aluminium oxide to control the morphology and phase of aluminium oxide is investigated. Of the different aluminium oxide phases only the alpha-Al2O3 is stable. The titanium carbon-nitride coatings are made by CVD (chemical vapour deposition); also the alumina is deposited by CVD. The titanium dioxide was deposited by atomic layer deposition (ALD) which is a sequential CVD technique that allows a lower deposition temperature and better control of the film growth than CVD. The obtained thin films were analyzed using XRD, Raman spectroscopy, ESCA and SEM. To test the adhesion of the coatings the samples were sand blasted. A thin interlayer of titanium dioxide causes the aluminium oxide to grow as alpha-Al2O3, thinner TiO2 gave better adhesion.
APA, Harvard, Vancouver, ISO, and other styles
22

Rezai-Tabrizi. "Surface treatments of titanium and its alloys." Thesis, University of Manchester, 1989. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.338082.

Full text
APA, Harvard, Vancouver, ISO, and other styles
23

Zhang, Lijuan. "Preparation and mechanical properties of CoTi and CoTi(Zr) intermetallic crystals." Thesis, University of Oxford, 2003. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.288529.

Full text
APA, Harvard, Vancouver, ISO, and other styles
24

Sharma, Amit M. "Growth and thermal degradation of titanium oxide nanotubes on titanium for bone implants a microstructural evaluation /." Pullman, Wash. : Washington State University, 2009. http://www.dissertations.wsu.edu/Thesis/Fall2009/a_sharma_113009.pdf.

Full text
Abstract:
Thesis (M.S. in materials science and engineering)--Washington State University, December 2009.
Title from PDF title page (viewed on Feb 19, 2010). "School of Mechanical and Materials Engineering." Includes bibliographical references (p. 37-39).
APA, Harvard, Vancouver, ISO, and other styles
25

LoStracco, Gregory 1960. "Furance and carbon dioxide laser densification of sol-gel derived silicon oxide-titanium oxide-aluminum oxide planar optical waveguides." Thesis, The University of Arizona, 1994. http://hdl.handle.net/10150/291388.

Full text
Abstract:
An experimental investigation on the furnace and CO₂ laser densification of sol-gel derived SiO₂-TiO₂-Al₂O₃ planar optical waveguides was performed. Solutions containing equal mole fractions of tetraethoxysiline [Si(C₂H₅O)₄], titanium ethoxide [Ti(C₂H₅O)₄], aluminum tri-sec-butoxide [Al(C₄H₉O)₃] were used to spin films with a nominal 2:2:1 molar SiO₂-TiO₂-Al₂O₃ composition. Emphasis was placed on determining what effects the densification techniques had on film shrinkage, index change, crystallization and composition. Film shrinkage and refractive index change were found to be similar for both densification techniques. Fully dense, amorphous film were obtained with both methods. After densification, further heating caused titania crystalline phases to form with both processing techniques. However, anatase formed in the furnace fired films while rutile formed in the laser irradiated films.
APA, Harvard, Vancouver, ISO, and other styles
26

Ovalle, Alejandro. "Manganese titanium perovskites as anodes for solid oxide fuel cells." Thesis, St Andrews, 2008. http://hdl.handle.net/10023/567.

Full text
APA, Harvard, Vancouver, ISO, and other styles
27

Brown, Robert Alexander. "The combustion of titanium powder in air and iron oxide." Thesis, University of Nottingham, 2000. http://eprints.nottingham.ac.uk/28106/.

Full text
Abstract:
The quest for ever stronger and tougher steels has lead to an interest in the 'Acicular Ferrite' microstructure, its chaotic and disordered morphology imparting a high degree of toughness to the steel. To date, only complex and expensive materials and manufacturing processes have formed acicular ferrite within bulk cast steel. As such, the thrust of this research is to produce a cheap steel addition, an iron - titanium oxide metal-ceramic composite, that will facilitate the formation of acicular ferrite in conventionally manufactured bulk cast steels. The Self-propagating High-temperature Synthesis (SHS) process has been utilised to manufacture the iron - titanium oxide material from compacts pressed from Fe203 + Ti powders. The fundamental reactions that occur as titanium powder and Fe203 + Ti powder compacts are heated in air and argon atmospheres have been investigated. The process’s involved are reported and have been modelled mathematically. A computer simulation of the reaction process has been developed and tested against experimental evidence. The effect of various compact parameters, the starting compact stoichiometry and other processing variables have been examined with respect to the composition of the products and their morphology.
APA, Harvard, Vancouver, ISO, and other styles
28

Kearns, Audrey Louise. "Low temperature synthetic routes to titanium and niobium oxide bronzes." Thesis, University of Reading, 1994. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.384871.

Full text
APA, Harvard, Vancouver, ISO, and other styles
29

Hassine, Nabile. "Microwave-assisted synthesis of non-oxide ceramic powders." Thesis, University of Nottingham, 1994. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.240494.

Full text
APA, Harvard, Vancouver, ISO, and other styles
30

Gunti, Srikanth. "Enhanced Visible Light Photocatalytic Remediation of Organics in Water Using Zinc Oxide and Titanium Oxide Nanostructures." Scholar Commons, 2017. http://scholarcommons.usf.edu/etd/6852.

Full text
Abstract:
The techniques mostly used to decontaminate air as well as water pollutants have drawbacks in terms of higher costs, require secondary treatment, and some methods are very slow. So, emphasis has been given to water though the use of photocatalysts, which break organic pollutants to water and carbon dioxide and leave no trace of by-products at the end. Photocatalytic remediation aligns with the waste and wastewater industries’ zero waste schemes with lower cost, eco-friendly and sustainable treatment technology. The commonly used photocatalysts such as titanium oxide (TiO2), zinc oxide (ZnO), tungsten oxide (WO3) have band gap of nearly 3.2 eV. The lower energy band-gap of a semiconductor makes it a better photocatalyst. The major drawbacks of photocatalysts are its inefficiency to work under visible light and high photocorrosion which limits its uses. These limitations can be mitigated through dopants and the formation of varying morphologies like nanowires, nanoparticles, nanotubes etc. Several organic pollutants are insoluble in water, which inhibits the pollutant (insoluble) to come in contact with photocatalytic material thus hindering remediation characteristic of a photocatalyst. Binder material used to immobilize the photocatalytic material tends to decompose due to oxidative and reduction reactions around the photocatalyst which causes the loss of photocatalytic material. This investigation displays the advantage of organic remediation in visible radiation using graphene (G) doped TiO2 nanoparticles and nanowires. The nanostructured G-TiO2 nanoparticles and G-TiO2 nanowires were synthesized using sol-gel and hydrothermal methods. The nanostructured materials were characterized using scanning electron microscopy (SEM), Transmission electron microscopy (TEM), X-ray diffraction (XRD), UV-visible spectroscopy (UV-vis), Fourier transform infrared spectroscopy (FTIR) and particle analyser procedures. The remediation of organic compounds (methyl orange) in water was achieved under visible radiation using graphene doped nanostructured photocatalytic materials. The sol-gel synthesized G-TiO2 nanoparticles has shown complete remediation of methyl orange (MO) in less than four hours, thus displaying enhanced photocatalytic activity achieved through graphene doping on TiO2 nanostructures The dopant and structure introduced in zinc oxide (ZnO) nanomaterials bring foundation for enhanced photocatalytic activity due to lowering of the band gap, and decreasing of photocorrosion through delaying of electron-hole recombination. The challenge to synthesize both nanowire and nanoparticle structures of ZnO doped with graphene (G) are carried out by simple and cost effective hydrothermal as well as super saturation precipitation techniques, respectively. Various nanostructures of ZnO have been synthesized using precipitation and hydrothermal methods are ZnO nanoparticles, G doped ZnO nanoparticles, ZnO nanowires, G doped ZnO nanowires, TiO2 seeded ZnO nanowires and G doped TiO2 seeded ZnO nanowires The synthesized ZnO based nanostructures were characterized using SEM, TEM, XRD, UV-vis, FTIR and particle analyser methods respectively. The standard organic pollutant methyl orange (MO) dye was employed in the water to understand the effective remediation using ZnO nanostructured materials under visible light radiation. The G-ZnO NW structure has shown effective remediation of MO in water in three hours compared to other synthesized nanostructured ZnO materials. The petroleum compounds were photocatalytically remediated from water using G- TiO2 nanoparticles material in visible light radiation. The G-TiO2 nanoparticle was synthesized using sol-gel technique and used on various petroleum-based chemicals (toluene, naphthalene and diesel) were remediated, and samples were analysed using optical and gas chromatography (GC) techniques. The importance of pollutant to come in contact with photocatalyst have been demonstrated by employing surfactant along with G-TiO2 nanoparticles to remediate naphthalene. Earlier studies in this investigation have shown that graphene (G) doping in both titanium oxide (TiO2) and zinc oxide (ZnO), has brought about a reduction in photocorrosion, and an increase in the photocatalytic efficiency for remediation of organics under visible light (λ > 400nm). However, the graphene doped photocatalysts have proven to be hard to coat on a surface, due to the strong hydrophobic nature of graphene. So, attempts have been made to use polyaniline (PANI), a conducting polymer, as a binder material by insitu polymerization of aniline over G-TiO2 nanoparticles (G-TiO2 NP) and G-ZnO nanowires (G-ZnO NW) & characterized using SEM, XRD, UV-vis and FTIR techniques. The photocatalytic, as well as photoelectrochemical catalytic performance of PANI:G-TiO2 NP and PANI:G-ZnO NW, were investigated. The standard MO in water was used for both PANI:G-TiO2 NP and PANI:G-ZnO NW electrodes on conducting substrates. 1:1 PANI:G-TiO2 NP shows an increase of 31% in the remediation of MO in water at potential of +1000 mV, and with the ease in coating PANI:G-TiO2 NP and PANI:G-ZnO NW on various substrates, on top of the visible light remediation allows for the use of these materials and process to be used for practical applications of remediation of organics from water.
APA, Harvard, Vancouver, ISO, and other styles
31

Yen, Li Chen, and 嚴立丞. "The Electrical and Structural Properties of Thulium Oxide, Thulium Titanium Oxide, Ytterbium Titanium Oxide, and Holmium Titanium Oxide Gate Dielectrics." Thesis, 2009. http://ndltd.ncl.edu.tw/handle/13869296123658571908.

Full text
Abstract:
碩士
長庚大學
電子工程學研究所
97
In this thesis, we reported on structural and electrical characteristic of high-k thulium oxide (Tm2O3), tulium titanium oxide (Tm2Ti2O7), ytterbium titanium oxide (Yb2TiO5) and holmium titanium oxide (HoTiO5) the metal-oxide-semiconductor capacitance gate dielectrics by reactive RF sputtering. Effect of titanium content in thulium oxide, ytterbium oxide and holmium oxide of the gate dielectrics with post deposition O2 annealing treatment on the crystallization temperature, leakage current, dielectric constant, and interface trap density. Compared to Tm2O3, Tm2Ti2O7, Yb2TiO5, and HoTiO3 was found to exhibit excellent electrical property such as a high accumulation capacitance, low leakage current density and a thin interfacial layer. The superiority of Tm2Ti2O7, Yb2TiO5, and HoTiO3 can be attributed to the addition of titanium the thulium oxide and holmium oxide matrix. It is found that the capacitance value of Tm2Ti2O7, Yb2TiO5, and HoTiO3 gate dielectric annealed at 800°C is higher compared to the other annealing temperatures and exhibits a lower hysteresis voltage as well as interface trap density in C-V curves. They also show almost negligible charge trapping under high constant voltage stress. This phenomenon is attributed to an amorphous Tm2Ti2O7, Yb2TiO5, and HoTiO3 structure and the decrease of the interfacial layer and Tm and Ho silicate thickness observed by X-ray diffraction and X-ray photoelectron spectroscopy, respectively. In addition, we reported Tm2Ti2O7, Yb2TiO5, and HoTiO3 compound layers grown by PDA process should display a sufficiently high-k value to achieve very thin EOT values, combined with lower hysteresis voltage, interface trap density, low leakage current density, and good reliability. This is due to the formation of amorphous microstructure and the reduction of lower-k interfacial layer.
APA, Harvard, Vancouver, ISO, and other styles
32

Huang, Wei-Cheng, and 黃韋誠. "Interfacial Reactions between Titanium and Titanium Dioxide/ Calcium Oxide/ Alumina Oxide Composites." Thesis, 2016. http://ndltd.ncl.edu.tw/handle/51006258184981192308.

Full text
Abstract:
碩士
國立交通大學
材料科學與工程學系所
104
Various proportions of TiO2 / CaO / Al2O3 powders were mixed and hot pressed at 1300°C for 30min. These hot-pressed specimens reacted with the commercially pure titanium at 1500°C for 6hour in 1 atm argon atmosphere. The high temperature interfacial reactions were explored between each ceramic specimen and titanium metal. The interface microstructures were analyzed using an X-ray diffraction diffractometer (XRD) and an analytic scanning electron microscope (SEM/EDS). When ceramic specimens reacted with titanium at 1500°C for 6hour, the oxygen would be dissolved to the titanium to form α-Ti due to the great affinity between oxygen and titanium, resulting in the hypoxia phenomenon in the ceramic side near the interface. In the CaTiO3 specimens incorating with Al2O3. When the ceramic specimens with the volume ratio of Al2O3 was 10%. Al will not diffus to Ti. It dissolves in CaTiO3, and form Ca (Ti, Al) O3. When the ceramic specimens with the volume ratio of Al2O3 was more than 30%. There were melted phenomenon in the specimens. Because the chain reaction of the residual CaO and Al2O3, resulting in a lower melting point compounds. As well as the titanium oxide and Al2O3 will produce again.
APA, Harvard, Vancouver, ISO, and other styles
33

Li, Zhisheng. "Surface Chemistry Studies of Transition Metal Oxides: Titanium Oxide and Iron Oxide." Thesis, 2015. https://doi.org/10.7916/D8R2109Z.

Full text
Abstract:
Surface chemistry studies of two transition-metal oxides: titanium oxide and iron oxide are presented, which are focused on thermal induced chemistry using proximal probe imaging and spectroscopy. In the first, using single crystal of rutile TiO2 (110), arrays of nano-scale locally varying surface strain field were generated by introducing highly pressurized nanoscale argon clusters 4-11 layers below the surface. The characteristics of the argon clusters are explored through STM tip-assisted surface excavation, combining with a continuum mechanical model. This work experimentally demonstrates that surface elastic strain influences the adsorption energy of adsorbates significantly and, thus, can be used for applications of surface nanopatterning. As a comparison with work on nanoscale, two forms of titanium oxide in reduced dimensionalities are experimentally synthesized and investigated for their surface reactivity: 3D nano TiO2 crystals and monolayer TiO films, both of which are supported on single crystal Au(111) surface. This work demonstrates that both nano crystals and ultrathin films of titanium oxide exhibit distinctive surface structural and catalytic properties compared to the bulk surface terminations. In particular, TiO2 nano crystals are more catalytically active and provide a new dissociation channel for adsorbed 2-propanol, a probe molecule chosen for this study. In the process of undertaking this research, it was found that monolayer TiO film can be used to employ moire varied chemistry. In particular, a long range pinwheel-shaped surface moiré pattern due to gradual shift of atom registry on Au (111), was found to further influence the adsorption geometry of adsorbates and to cause thereby smoothly varying sites for reactions. In the case, of the second transition metal oxide surface, Fe3O4 (111), a comparison was made with rutile TiO2 (110) surface, Fe3O4 (111) is a polar surface with apparent surface charge, and thus undergoes various surface reconstructions. Therefore, its surface structure is of great complexity. Our work shows that the reaction of methanol on this iron-oxide surface is highly sensitive to atomic-level surface reconstructions.
APA, Harvard, Vancouver, ISO, and other styles
34

Peng, Yong-Jie, and 彭勇傑. "Investigation of Titanium oxide/Cuprous oxide photo-electrodes." Thesis, 2011. http://ndltd.ncl.edu.tw/handle/27286558784993947414.

Full text
Abstract:
碩士
元智大學
化學工程與材料科學學系
99
In this study, we used electrochemical deposition to prepare titanium dioxide/cuprous oxide composite electrodes and applied in solar hydrogen system. The composite electrodes demonstrate the photo-electrochemical effect in water during the visible light irradiation. Two kinds of cuprous oxide electrodes in different crystalline, faceted and dendritic structures, were prepared by electrochemical deposition. After analysis, modification of titanium dioxide electrodes can enhance the photo-electrochemical performance. Cuprous oxide of faceted crystal is a p-type semiconductor; whereas, the dendritic crystal is a n-type semiconductor. Both types of the cuprous oxide samples can absorb visible light. Furthermore, the titanium dioxide / cuprous oxide composite electrode can improve the photo-electrochemical performance in the visible light irradiation, compared to titanium dioxide electrodes.
APA, Harvard, Vancouver, ISO, and other styles
35

Liao, Pei You, and 廖培佑. "Development of ytterbium titanium oxide and terbium titanium oxide sensing membranes for biosensor applications." Thesis, 2012. http://ndltd.ncl.edu.tw/handle/10575588086692712406.

Full text
Abstract:
碩士
長庚大學
電子工程學系
100
This thesis, ytterbium titanium and terbium titanium oxide dielectric grown using reactive RF-sputtering was investigated as sensing membrane of pH-EIS structure. We use the ytterbium (terbium) target and titanium target with sputtering deposition in the p-type Si wafer, and the formation of ytterbium titanium oxide via rapid thermal annealing system. We found the optimum condition was that the annealing temperature was 900oC.It represent a larger sensitivity, lower drift rate, and smaller hysteresis width. Additionally, in order to avoid generation of hydration layer of the gate insulator and reduce the formation of ytterbium-silicate, we deposited titanium on ytterbium oxide sensing, membranes and samples was rapid thermal annealed in oxygen. We found that titanium-doping exhibits better sensing characteristics. We combine a biomolecule layer to become biomolecule film/YbTixOy sensing membrane/p-Si EIS structure for glucose and DNA sensor applications and we hope them could be extended to bio-sensor application. Due to the methods used to detect DNA in clinical Price high time for a long time, often have more than a week's time, our DNA detection rate is very rapid, can be very accurate detection accuracy is high enough to believe that this is the future of clinical DNA testing is a big help. In this paper, we do DNA Sensor accuracy is considered quite good, then do improve with experience measured opposite the previously mentioned methods are possible.
APA, Harvard, Vancouver, ISO, and other styles
36

Li, Bo-Wei, and 李柏緯. "Photocatalyses of Zinc Oxide Nanotip/Titanium Oxide Film Heterojunctions." Thesis, 2010. http://ndltd.ncl.edu.tw/handle/69527432345800335229.

Full text
Abstract:
碩士
國立中山大學
電機工程學系研究所
98
The length of ZnO nanotip can be controlled by the deposition time, and the crystal of ZnO nanotip can be enhanced by a thermal annealing at 300oC in this study.The thickness of TiO2 on ITO/glass also can be controlled by the deposition time in this investigate. There are three major parts in this study : 1. (1). The control of thickness of TiO2 film and length of ZnO nanotip and (2). the difference of their photocatalytic activities are two major parts. 2. The relationship between the surface area and the photocatalytic activities of TiO2 powder (P25) and film. 3. The improvement of photocatalytic activity was utilized by the hetrojunction of ZnO nanotip/TiO2 and TiO2/ZnO nanotip, and the P25 is used as a reference for all measurements.
APA, Harvard, Vancouver, ISO, and other styles
37

Yang, Chi-Chum, and 楊啟全. "Studies of Modified Titanium oxide Electrodes." Thesis, 2006. http://ndltd.ncl.edu.tw/handle/nq86x6.

Full text
Abstract:
碩士
國立虎尾科技大學
光電與材料科技研究所
94
The paper relates to a process for producing a metal probe tip having a sharp point. A computer controlled instrument and reversed dip etching process characterizes the tip while in formation and reliably produces tips having a radius of curvature of approximately 10μm. In the paper, the position of the metal wire during the reversed-dip-etching operation is mechanically controlled while the etching solution is monitored. A new pH sensor based on a novel oxidized titanium electrode is prepared and its characteristics discussed as well. Titanium electrodes were anodized in DMSO organic solution by applying DC voltages (6V~160V) to form various titanium oxide thin films. The dry (stored in dry air) anodized titanium electrode has a super-Nernstian response with a slope of 66.0 mV/pH unit, and the wet (stored in 4M KCl solution) anodized titanium electrode has a near Nernstian response with a slope of 59.0 mV/pH unit. Responses over a pH range 2 ~ 12 are linear. A self-assembled Prussian blue (PB) on titanium microelectrode with modified Nafion is described. The modified electrode showed high sensitivity when used in the determination of potassium ion concentration. It can effectively eliminate the interference of H+ ion after coated PB with modified Nafion. This electrode can be used in the determination of the time since death (postmortem interval, PMI).
APA, Harvard, Vancouver, ISO, and other styles
38

Guo, Hsin-Yi, and 郭幸宜. "Anodic Deposition of Ruthenium Oxide-Titanium Oxide Composites for Supercapacitors." Thesis, 2009. http://ndltd.ncl.edu.tw/handle/16584639397135321058.

Full text
Abstract:
碩士
國立中正大學
化學工程所
97
The first method was anodic deposition of hydrous ruthenium oxide on Ti substrates. These pretreated Ti substrates were dip-coated different weight of TiO2 films with prepared by a hydrothermal process. The second method was anodic composite deposition of RuO2.xH2O-TiO2 on Ti substrates. We discussed these methods in the application of supercapacitors in this route. Through dip-coated different weight ratio of titanium oxide、annealed at different temperature、added different concentration of titanium(III) chloride solution and grew different loading of oxide analyzed the textural and electrochemical characterization. The textural characterization were analyzed through field emission scanning electron microscopy(SEM)、Raman spectroscopy、X-ray diffraction(XRD) and transmission electron microscopy(TEM). The electrochemical characterization were analyzed through cyclic voltamograms、reversibility、chronopotentiograms、different scan rate and stability. In chapter 3, titanium(III) chloride was used as the precursor to prepare rutile-titanium oxide via a hydrothermal process. The additive, sodium dodecyl sulfate (SDS), could improve the dispersive of TiO2 samples. RuO2.xH2O films were electroplated at 1.0 V from a 10 mM RuCl3.xH2O and NaAcO solution on Ti substrates dip-coated different weight ratio of titanium oxide. With the weight ratio of RuO2/TiO2 ascending, the morphologies of layer by layer accumulation were obvious. It was helpful for the penetration of electrolytes. After annealed at different temperature, it was enhanced electron transports. When the weight ratio of RuO2/TiO2 was ca. 1:6.5 and annealed at 150℃, its best specific capacitance was 1094 Fg-1. After 200 cycles of cyclic voltammograms, the decay ratio of specific capacitance was about 4∼5%, it was very suitable for supercapacitors. In chapter 4, TiO2 nanoflowers in the rutile phase were synthesized from a 50 mM TiCl3 solution purged with air at 25℃. This solution was mixed with an equal volume RuCl3.xH2O and NaAcO solution to form the deposition bath containing TiO2 nanoflowers, 10 mM RuCl3.xH2O, and 10 mM NaAcO. The morphologies of RuO2.xH2O- TiO2 nanocomposites were much rougher than RuO2.xH2O deposits. From the composition of Ru and Ti at the cross section, it indicated that the RuO2.xH2O and TiO2 nanoflowers were continuous composite-deposition and no gradient distribution. In addition, The RuO2.xH2O and TiO2 nanoflowers were the nanometer-mixed level through the elemental mapping analysis under the TEM mode. With the concentration of titanium(III) chloride solution ascending, the current responses were increased, resulting in the good utilization of the RuO2.xH2O- TiO2 electrode. When the concentration of titanium(III) chloride solution was 50mM and annealed at 200℃, its best specific capacitance was 543 Fg-1. Electroplating RuO2.xH2O-TiO2 nanocomposites, so these active material had a few effect on the loading of oxide. While the loading of oxide was ca. 0.91 mg, the capacitive current density is quasi-linearly dependent on the scan rate of CV for RuO2.xH2O-TiO2 nanocomposites. The excellent power characteristics of RuO2.xH2O-TiO2 nanocomposites were demonstrated in this work. These properties were ideal for the application of supercapacitors, indicating that these RuO2.xH2O-TiO2 nanocomposites were the potential materials for supercapacitors.
APA, Harvard, Vancouver, ISO, and other styles
39

Chen, Hong-Zhi, and 陳宏志. "Interfacial Reactions between Titanium and Titanium Dioxide/ Calcium Oxide/Yttriia Composites." Thesis, 2015. http://ndltd.ncl.edu.tw/handle/39125656630823793576.

Full text
Abstract:
碩士
國立交通大學
材料科學與工程學系奈米科技碩博士班
103
Vanious proportions of TiO2 / CaO / Y2O3 powders were mixed and hot pressed at 1500°C for 30min. These hot-pressed specimens reacted with the commercially pure titanium at 1600°C for 30min in 1 atm argon atmosphere. The high temperature interfacial reactions were explored between each ceramic specimen and titanium metal. The interface microstructures were analyzed using an X-ray diffraction diffractometer (XRD) and an analytic scanning electron microscope (ASEM). When ceramic specimens reacted with titanium at 1600°C for 30min, the oxygen would be dissolved to the titanium to form α-Ti due to the great affinity between oxygen and titanium, resulting in the hypoxia phenomenon in the ceramic side near the interface. In the Ca4Ti3O10 specimens incorporating with Y2O3, Y2O3 formed network in the interior ceramic specimens with the volume ratio of Y2O3 was more than 20%. When the ceramic specimens reacted with titanium, Y2O3 would diffuse to the interface due to ambipolar diffusion, the titanium would diffuse to the ceramic side and the oxygen in Y2O3 would be dissolved to the titanium side, so that, a Y2O3-y and α-Ti layer was formed. With increasing in the amount of Y2O3, the layer would be thicker. The Y2O3 phase in the far away from interface would be coarser.
APA, Harvard, Vancouver, ISO, and other styles
40

Chung, Chih-Yuan, and 鍾志遠. "Properties of titanium oxide thin films prepared with different substrate (titanium/platinum/glass/indium tin oxide glass)." Thesis, 2009. http://ndltd.ncl.edu.tw/handle/34387357881264765166.

Full text
Abstract:
碩士
國立高雄海洋科技大學
輪機工程研究所
97
Abstract This paper aims to characterize the photoelectrochemical properties of the visible-light enabling titanium dioxide (TiO2) film electrodes prepared with different substrate (titanium/platinum/glass/indium tin oxide glass) using a direct current (DC) magnetron sputtering technique. Structural properties characterized by X-ray diffraction (XRD), Raman spectra and scanning electron microscopy (SEM) showed typical polycrystalline structure with primary anatase phase along with elongated pyramid-like grains lying on the film surface and densely packed columnar structure from cross-sectional profile. To improve its photoelectrochemical properties, under ultraviolet (λ~365 nm) illumination, the TiO2/Pt film electrode also exhibits the highest photocurrent density of 150 μA/cm2 among all samples tested. TiO2/ITO film has the best photocatalytic activity on MB degradation with the rate-constant of about 0.597 h−1. Keywords: titanium dioxide; ultraviolet; photocurrent
APA, Harvard, Vancouver, ISO, and other styles
41

Kao, Chen-Yu, and 高振祐. "Preparation and Applications of Zinc Oxide Nanotip and Titanium Oxide Heterojunction." Thesis, 2013. http://ndltd.ncl.edu.tw/handle/rat4a8.

Full text
Abstract:
碩士
國立中山大學
電機工程學系研究所
101
Photocatalytic activity of ZnO nanotip is low. To improve this condiction, ZnO nanotip growing on TiO2 film can form heterojunction which make life-time longer and enlarge the area to enhance the photocatalytic activity. This is due to the high reactivity of TiO2 and the large binding energy of ZnO, which improve the process of electron and hole transfer between the corresponding conduction and valence bands. In conclusion, the heterostructure of ZnO nanotip/TiO2 film and ZnO nanotip/N-F co-doped TiO2 nanoparticle were prepared by aqueous solution deposition (ASD). TiO2 films are inexpensive, chemically stable and harmless, and have no absorption in the visible region. Therefore, N-F co-doped TiO2 nanoparticle is in order to adjust the titanium dioxide the light to absorb the boundary (optical absorption edge), hoping to enhance the absorption of photoenergy. In this heterojunction configuration, several advantages can be obtained: (1) an improvement of charge separation (2) an increase in the lifetime of the charge carrier (3) an enhancement of the interfacial charge transfer efficiency to adsorbed substrate. In our research, heterojunction of ASD-ZnO nanotip on ASD-TiO2 thin film or ASD-N-F co-doped TiO2 nanoparticle show higher photocatalytic activity.
APA, Harvard, Vancouver, ISO, and other styles
42

CHEN, WEI-JIE, and 陳偉傑. "Photoelectrochemical Cathodic Protection for Anticorrosion by Titanium Oxide and Indium Oxide." Thesis, 2019. http://ndltd.ncl.edu.tw/handle/dqb5zr.

Full text
Abstract:
碩士
明志科技大學
化學工程系碩士班
107
The hazards of corrosion are well known, so a variety of anti-corrosion methods have been developed. Among these methods, photoelectrochemical cathodic protection is a low-consumption and environmentally friendly method. In this study, a slurry composed of by indium oxide and titanium dioxide was prepared. In which, polyacrylic acid and ethyl cellulose were used for adhesive, respectively. The slurry was coated on tin oxyfluoride (FTO) to form an oxide semiconductor film, and the film can provide photoelectrochemical cathodic protection of 304 stainless steel. In our experiment, a 3.5 wt.% sodium chloride solution was used to simulate the seawater environment and to investigate the corrosion of stainless steel. 0.1 M EDTA-2Na is found as a hole trapping agent in the anode region, effectively increasing the protection potential difference and delaying the potential recovery after lamp power off. It is also indicated that coating pure titanium dioxide or a composite oxide could prevent from corrosion, but adding of indium oxide can improve the protection potential difference under white light irradiation. Our study found that the most suitable compound ratio was titanium dioxide: indium oxide is 0.95:0.05, which can provide the maximum potential shift for 304 stainless steel.
APA, Harvard, Vancouver, ISO, and other styles
43

Kao, Chung-ho, and 高仲和. "Phase Transformations of Titanium Oxide Nano Film." Thesis, 2006. http://ndltd.ncl.edu.tw/handle/40651671053313256076.

Full text
APA, Harvard, Vancouver, ISO, and other styles
44

Lin, Cheng-Feng, and 林正豐. "Preparation and Photocatalysis of Nanocrystalline Titanium Oxide." Thesis, 2001. http://ndltd.ncl.edu.tw/handle/25432243651171322284.

Full text
Abstract:
碩士
國立臺灣大學
化學工程學研究所
89
Abstract In this study, we synthesize nanocrystalline titanium dioxide (U-TiO2)which has larger specific surface area than commercial TiO2 by using sol-gel method. In addition, specific surface area will increase because of its inhibition of crystallite growth via HMDS treatment(H-TiO2). Photocatalytic decomposition of water, methyl orange, and alcohol solution were investigated. Although the resulted powders after HMDS treatment have large specific surface area, they performed inferior photocatalytic activity to fresh titanium dioxide powders which have smaller specific surface area than H-TiO2. It is suggested that H-TiO2 decomposed via calcinations process and gave SiO2 particles along the grain boundaries. The photocatalytic activity decreased results from the presence of SiO2 particles in H-TiO2. In addition, we try to increase the photocatalytic activity of titanium dioxide by doping copper. The three different loading methods in our study is:1. vapor phase reaction method(VPR), 2. add some CuCl2˙2H2O in TiO2 sol(Sol+Cu), and 3. method of incipient wetness impregnation(Impregnation), respectively. All three methods show increased photocatalytic activity and titanium dioxide has the best photocatalytic activity by using the impregnation method.
APA, Harvard, Vancouver, ISO, and other styles
45

Yang, Te-min, and 楊德敏. "Titanium oxide modification:Anode of lithium-ion battery." Thesis, 2011. http://ndltd.ncl.edu.tw/handle/62356119401982090388.

Full text
Abstract:
碩士
國立中央大學
化學研究所
99
power two requirements. The purpose of this research was to enhance the output power of lithium-ion battery and to make it more suitable for high power electrical. The traditional lithium-ion battery anode material - meso carbon micro beads (MCMB) demonstrates poor performance under high current charging / discharging. Nano titanium dioxide is a promising anode material for high power lithium-ion battery. However, the low electronic conductivity (10-9 to 10-7 S / cm) and low lithium ion diffusion rate (10-15 to 10-13 cm2 / s) makes it difficult to implement. Current research explores two designs to overcome these shortcomings. The first method is to enhance the electronic conductivity of active material which expedite the charge transfer. The goal is reached by doping titanium nitride with Titania oxide nano-particle. The structure not only raised the conductivity by three orders of magnitude, it also shows much better columbic efficiency. Titanium dioxide blends with titanium nitride also enhanced the lithium ion diffusion coefficient; therefore on the 10C (3.35A/g) current charging / discharging still retain 35mAh / g of capacity. The second design aims at increasing the surface area of the active material which improves the amount of lithium-ion intercalation. The goal is achieved by phosphatization of the titanium dioxide nanoparticle, which inhibits the growth of the crystalline domain and preserved higher active surface area. As a result, the discharging capacity in lithium-ion battery increases. Discharging capacity of the first cycle reached 250 mAh/g. Unfortunately, phosphatization of titanium dioxide created more amorphous region by reducing the anatase crystalline. Therefore, the reversibility in lithium-ion insertion / extraction is poor. In slow CV test we conclude that the surface area and the crystallite size affected both the amount of lithium-ion insertion and degree of polarization. Active material with high surface area (or smaller crystal size) shows faster lithium-ion diffusion rate but the polarization is not as obvious. Therefore, the battery shows better high-rate performance.
APA, Harvard, Vancouver, ISO, and other styles
46

Tsai, Min-Chiao, and 蔡旻橋. "Titanium Oxide Related Materials - Syntheses and Applications." Thesis, 2009. http://ndltd.ncl.edu.tw/handle/82782805810217922991.

Full text
APA, Harvard, Vancouver, ISO, and other styles
47

Xu, Shao-Da, and 許紹達. "Synthesis of titanium oxide film by plasma chemical vapor deposition of titanium tetrachloride." Thesis, 1990. http://ndltd.ncl.edu.tw/handle/52305229713581971716.

Full text
APA, Harvard, Vancouver, ISO, and other styles
48

Chang, Hung-Jui, and 張宏睿. "Investigation of Titanium oxide/ Copper (II) oxide photo-electrode for water splitting." Thesis, 2010. http://ndltd.ncl.edu.tw/handle/21351538217747279794.

Full text
Abstract:
碩士
元智大學
先進能源研究所
98
The electronic property of TiO2/CuO heterojunction thin film is better than TiO2 mix CuO thin film, and under 500nm wavelength irradiation can detect a current. Photoelectrode produced from Titanium dioxide, Titanium dioxide mix Copper(II) oxide, and Titanium dioxide/ Copper(II) oxide, were investigated and the characteristics, such as optical properties, electronic and optical conversion to electronic at electrolyte. Photoelectrode thin films were developed on ITO (Indium Tin Oxide) glass substrates by doctor-blade deposition techniques. Titanium dioxide and copper compounds were used to the main materials for slurry. Using light irradiation to activate photoelectrode, TiO2 can be a photoanode. TiO2 mix CuO, CuO, and TiO2/CuO heterojunction thin film can be a photcathode. Photoelectrode produced by TiO2 mix CuO have a large electric resistance.
APA, Harvard, Vancouver, ISO, and other styles
49

Fan, Cho-Han, and 范卓涵. "Study of Titanium Oxide and Nickel Oxide Films by Liquid Phase Deposition." Thesis, 2011. http://ndltd.ncl.edu.tw/handle/48561656296350551733.

Full text
Abstract:
博士
國立中山大學
電機工程學系研究所
100
An uniform titanium oxide film was grown on indium tin oxide/glass substrate with the aqueous solutions of ammonium hexafluoro-titanate and boric acid. The as-deposition titanium oxide film shows good electrochromic property because of fluorine passivation on defects and dangling bonds. The transmittance of as-grown titanium oxide on indium tin oxide/glass with a thickness of 270 nm is about 85% at the wavelength of 550 nm. By 50 times electrochromic cycling test, the transparency ratio of TiO2 film is kept at 45% between fully colored state and fully bleached state at the wavelength of 550 nm. Under ultraviolet illumination, the growth of titanium oxide film grown is enhanced. The root mean squared value of surface roughness is improved from 3.723 to 0.523 nm. Higher fluorine concentration from (NH4)2TiF6 passivate defects and dangling bonds of titanium oxide during the growth. After 50 times electrochromic cycling test, the transparency ratio UV-TiO2 is improved from 37.5% to 42.4% at the wavelength of 550 nm. The electrical characteristics of nickel-doped titanium oxide films on p-type (100) silicon substrate by liquid phase deposition were investigated. For nickel doping, the nickel chloride was used as the doping solution and the electrical characteristics were improved. After thermal annealing in nitrous oxide at 700 oC, the dielectric constant of polycrystalline titanium oxide film is 29 and can be improved to 94 with nickel doping. Uniform nickel oxide film was grown on a conducting glass substrate with the aqueous solution of saturated NiF2‧4H2O solution and H3BO3. The quality of NiO is improved after thermal annealing at 300 oC in air from the decrease of oxygen vacancy and better F ion passivation on defects and dangling bonds. The transmittance of as-deposited NiO/ITO/glass with a thickness of 100 nm is about 78% and improved to 88% after annealing at the wavelength of 550 nm. By the electrochromic cycling test 50 times on annealed NiO film, the transparency ratio is kept at 48% between fully colored state and fully bleached state at the wavelength of 550 nm. By the memory time test, the annealed LPD-NiO film has shorter memory time. The growth of nickel oxide film grown on indium-tin oxide/glass substrate by liquid phase deposition is enhanced under ultraviolet photo-irradiation was studied. a-Ni(OH)2 dominates the composition of as-grown NiO film. After thermal treatment at 300 oC,a-Ni(OH)2 is transformed into NiO. For thermally treated NiO under ultraviolet photo-irradiation, the recrystallization and the colored and bleached transmittance after 50 times electrochromic test were improved. Both improvements come from fluorine passivation. Transparent and conductive thin films consisting of p-type nickel oxide (NiO) semiconductors were prepared by liquid phase deposition. A resistivity of 8 x 10-1 -cm was obtained for NiO films prepared at liquid phase deposition. The transmittance of NiO is almost 70 % in the 550 nm wavelength was obtained for a 384.3 nm thick NiO film.
APA, Harvard, Vancouver, ISO, and other styles
50

Chen, Hao, and 陳豪. "Characterization of III-V Compound Semiconductor MOSFETs with Titanium Oxide and Aluminum Oxide Stacked Layers as Gate Oxides." Thesis, 2014. http://ndltd.ncl.edu.tw/handle/ggp92v.

Full text
Abstract:
碩士
國立中山大學
電機工程學系研究所
102
Due to the high electron mobility compared with Si, much attention has been focused on III-V compound semiconductors (gallium arsenide (GaAs), indium phosphide (InP), indium gallium arsenide (InGaAs)) high-speed devices. The high-k material TiO2 not only has high dielectric constant (k =35-100) but also has well lattice match with GaAs, InP and InGaAs substrate. Therefore, titanium oxide (TiO2) was chosen to be the gate oxide in this study, and aluminum oxide (Al2O3) has high bandgap (Eg~9eV) and self-cleaning capability, we use TiO2 and Al2O3 stack layers to decrease leakage currents and increase capacitance. The major problem of III-V compound semiconductor is known to have poor native oxide on it leading to the Fermi level pinning at the interface of oxide and semiconductor. The C-V stretch-out phenomenon can be observed and the leakage current is high. Use atomic layer deposition (ALD) system to grow stack double layers ALD-TiO2 and ALD-Al2O3 films on III-V substrate by high-k of TiO2 and high bandgap and self-cleaning capability of Al2O3 to reduce only one layer’s defect. The surface passivation of III-V with (NH4)2S treatment (S-III-V) could prevent it from oxidizing after cleaning and improve the interface properties of MOSFET. The leakage current of sulfur passivation can be improved. The leakage current densities are 7.31 x 10-7, 3.11 x 10-6 and 7.40 x 10-7 A/cm2 at ±2.0MV/cm, respectively. The (NH4)2S is necessary to passivation III-V surface form S-thin film of fabrication of III-V devices.
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography