Dissertations / Theses on the topic 'Threshold circuits'
Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles
Consult the top 50 dissertations / theses for your research on the topic 'Threshold circuits.'
Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.
You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.
Browse dissertations / theses on a wide variety of disciplines and organise your bibliography correctly.
LEPORATI, ALBERTO OTTAVIO. "Threshold Circuits and Quantum Gates." Doctoral thesis, Università degli Studi di Milano, 2003. http://hdl.handle.net/10281/43616.
Full textMaciel, Alexis. "Threshold circuits of small majority-depth." Thesis, McGill University, 1995. http://digitool.Library.McGill.CA:80/R/?func=dbin-jump-full&object_id=28830.
Full textThese circuits are usually studied by measuring their efficiency in terms of their total depth. Using this point of view, the best division and iterated multiplication circuits have depth three and four, respectively.
In this thesis, we propose a different approach. Since threshold gates are much more powerful than AND-OR gates, we allow the explicit use of AND-OR gates and consider the main measure of complexity to be the majority-depth of the circuit, i.e. the maximum number of threshold gates on any path in the circuit. Using this approach, we obtain division and iterated multiplication circuits of total depth four and five, but of majority-depth two and three.
The technique used is called Chinese remaindering. We present this technique as a general tool for computing functions with integer values and use it to obtain depth-four threshold circuits of majority-depth two for other arithmetic problems such as the logarithm and power series approximation. We also consider the iterated multiplication problem for integers modulo q and for finite fields.
The notion of majority-depth naturally leads to a hierarchy of subclasses of TC$ sp0$. We investigate this hierarchy and show that it is closely related to the usual depth hierarchy.
PALANISWAMY, ASHOK KUMAR. "SYNTHESIS AND TESTING OF THRESHOLD LOGIC CIRCUITS." OpenSIUC, 2014. https://opensiuc.lib.siu.edu/dissertations/963.
Full textCaicedo, Jhon Alexander Gomez. "CMOS low-power threshold voltage monitors circuits and applications." reponame:Biblioteca Digital de Teses e Dissertações da UFRGS, 2016. http://hdl.handle.net/10183/144080.
Full textA threshold voltage (VT0) monitor is a circuit that ideally delivers the estimated VT0 value as a voltage at its output, for a given temperature range, without external biases, parametric setups, curve fitting or any subsequent calculation. It can be used in temperature sensors, voltage and current references, radiation dosimeters and other applications since the MOSFET VT0 dependence on the operation conditions is a very well modeled aspect. Also, it can be used for fabrication process monitoring and process variability compensation, since VT0 is a key parameter for the transistor behavior and modeling. In this thesis, we present three novel circuit topologies, two of them being NMOS VT0 monitors and the last one being a PMOS VT0 monitor. The three structures are resistorless self-biased circuit topologies that present high power supply rejection, low line sensitivity, and allow the direct extraction of the threshold voltage for wide temperature and power supply voltage ranges, with small error. Its design methodology is based on the Unified Current Control Model (UICM), a MOSFET model that is continuous from weak to strong inversion and from triode to saturation regions. The circuits occupy small silicon area, consume just tens of nanoWatts, and can be implemented in any standard digital CMOS process, since they only use MOS transistors (does not need any resistor). The VT0 monitors are used in different applications in order to prove their functionality, and behavior as part of a system. The applications vary from a reference voltage, that presents performance comparable with state-of-the-art works, to a configuration that allows to obtain a lower process variability, in the output of a self-biased circuit that generates a complementary to the absolute temperature (CTAT) voltage. In addition, exploiting the ability to operate as an specific current (ISQ) generator, that the VT0 monitors presented here offer, we introduced a new self-biased circuit that produces a CTAT voltage and is less sensitive to process variations, and can be used in band-gap voltage references.
Narendra, Siva G. (Siva Gurusami) 1971. "Effect of MOSFET threshold voltage variation on high-performance circuits." Thesis, Massachusetts Institute of Technology, 2002. http://hdl.handle.net/1721.1/8341.
Full textIncludes bibliographical references (p. 95-101).
The driving force for the semiconductor industry growth has been the elegant scaling nature of CMOS technology. In future CMOS technology generations, supply and threshold voltages will have to continually scale to sustain performance increase, limit energy consumption, control power dissipation, and maintain reliability. These continual scaling requirements on supply and threshold voltages pose several technology and circuit design challenges. One such challenge is the expected increase in threshold voltage variation due to worsening short channel effect. This thesis will address three specific circuit design challenges arising from increased threshold voltage variation and present prospective solutions. First, with supply voltage scaling, control of die-to-die threshold voltage variation becomes critical for maintaining high yield. An analytical model will be developed for existing circuit technique that adaptively biases the body terminal of MOSFET devices to control this threshold voltage variation. Based on this model, recommendations on how to effectively use the technique in future technologies will be presented. Second, with threshold voltage scaling, sub-threshold leakage power is expected to be a significant portion of total power in future CMOS systems. Therefore, it becomes imperative to accurately predict and minimize leakage power of such systems, especially with increasing within-die threshold voltage variation. A model that predicts system leakage based on first principles will be presented and a circuit technique to reduce system leakage without reducing system performance will be discussed.
(cont.) Finally, due to different processing steps and short channel effects, threshold voltage of devices of same or different polarities in the same neighborhood may not be matched. This will introduce mismatch in the device drive currents that will not be acceptable in some high performance circuits. In the last part of the thesis, voltage and current biasing schemes that minimize the impact of neighborhood threshold voltage mismatch will be introduced.
by Siva G. Narendra.
Ph.D.
Silva, Augusto Neutzling. "Syhthesis of thereshold logic based circuits." reponame:Biblioteca Digital de Teses e Dissertações da UFRGS, 2014. http://hdl.handle.net/10183/119435.
Full textIn this work, a novel method to synthesize digital integrated circuits (ICs) based on threshold logic gates (TLG) is proposed. Synthesis considering TLGs is quite relevant, since threshold logic has been revisited as a promising alternative to conventional CMOS IC design due to its suitability to emerging technologies, such as resonant tunneling diodes, memristors and spintronics devices. Identification and synthesis of threshold logic functions (TLF) are fundamental steps for the development of an IC design flow based on threshold logic. The first contribution is a heuristic algorithm to identify if a function can be implemented as a single TLG. Furthermore, if a function is not detected as a TLF, the method uses the functional composition approach to generate an optimized TLG network that implements the target function. The identification method is able to assign optimal variable weights and optimal threshold value to implement the function. It is the first heuristic algorithm that is not based on integer linear programming (ILP) that is able to identify all threshold functions with up to six variables. Moreover, it also identifies more functions than other related heuristic methods when the number of variables is more than six. Differently from ILP based approaches, the proposed algorithm is scalable. The average execution time is less than 1 ms per function. The second major contribution is the constructive process applied to generate optimized TLG networks taking into account multiple goals and design costs, like gate count, logic depth and number of interconnections. Experiments carried out over MCNC benchmark circuits show an average gate count reduction of 32%, reaching up to 54% of reduction in some cases, when compared to related approaches.
Ting, Darwin Ta-Yueh. "Reconfigurable Threshold Logic Gates Implemented in Nanoscale Double-Gate MOSFETs." Ohio University / OhioLINK, 2008. http://rave.ohiolink.edu/etdc/view?acc_num=ohiou1219672300.
Full textDhillon, Yuvraj Singh. "Hierarchical Optimization of Digital CMOS Circuits for Power, Performance and Reliability." Diss., Georgia Institute of Technology, 2005. http://hdl.handle.net/1853/6935.
Full textParthasarathy, Krupa. "Aging Analysis and Aging-Resistant Design for Low-Power Circuits." University of Cincinnati / OhioLINK, 2014. http://rave.ohiolink.edu/etdc/view?acc_num=ucin1415615574.
Full textGopalakrishnan, Harish. "Energy Reduction for Asynchronous Circuits in SoC Applications." Wright State University / OhioLINK, 2011. http://rave.ohiolink.edu/etdc/view?acc_num=wright1324264498.
Full textWienke, James Patrick. "The impact of interface states on sub-threshold leakage and power management in CMOS devices and circuits." College Park, Md.: University of Maryland, 2007. http://hdl.handle.net/1903/7235.
Full textThesis research directed by: Dept. of Electrical and Computer Engineering. Title from t.p. of PDF. Includes bibliographical references. Published by UMI Dissertation Services, Ann Arbor, Mich. Also available in paper.
Gutierrez, Arguedas Mauricio. "Accurate modeling of noise in quantum error correcting circuits." Diss., Georgia Institute of Technology, 2015. http://hdl.handle.net/1853/54443.
Full textHossain, Mousam. "Formal Verification Methodology for Asynchronous Sleep Convention Logic Circuits Based on Equivalence Verification." Thesis, North Dakota State University, 2019. https://hdl.handle.net/10365/31574.
Full textMozaffari, Mojaveri Seyed Nima. "DESIGN AND TEST OF DIGITAL CIRCUITS AND SYSTEMS USING CMOS AND EMERGING RESISTIVE DEVICES." OpenSIUC, 2018. https://opensiuc.lib.siu.edu/dissertations/1526.
Full textStangherlin, Kleber Hugo. "Energy and speed exploration in digital CMOS circuits in the near-threshold regime for very-wide voltage-frequency scaling." reponame:Biblioteca Digital de Teses e Dissertações da UFRGS, 2013. http://hdl.handle.net/10183/96974.
Full textThis thesis assesses the benefits and drawbacks associated with a very wide range of frequency when operation at near-threshold is considered. Scaling down the supply voltage in digital CMOS circuits presents great benefits in terms of power reduction. Such scaling comes with a performance penalty, hence in digital synchronous circuits the reduction in frequency of operation follows, for a given circuit layout, the VDD reduction. Minimum-energy operation of digital CMOS circuits is commonly associated to the sub-VT regime, carrying huge performance and variability penalties. This thesis shows that it is possible to achieve 8X higher energy-efficiency with a very-wide range of dynamic voltage-frequency scaling, from nominal voltages down to the lower boundary of near-VT operation. As part of this study, a CMOS digital cell-library for such wide range of frequencies was developed. The cell-library is exercised in a 65nm commercial PDK and targets near-VT operation, mitigating the variability effects without compromising the design in terms of area and energy at strong inversion. For near-VT or sub-VT operation the cells have to be designed with few stacked transistors. Our study shows that acceptable performance in terms of static-noise margins is obtained for a constrained set of cells, for which a maximum of 2-stacked transistors are allowed. In this set we include master-slave registers. We report results for medium complexity designs which include a 25kgates notch filter, a 20kgates 8051 compatible core, and 4-combinational/4-sequential ISCAS benchmark circuits. In this work the maximum frequency attainable at each supply for a wide variation of voltage is studied from 150mV up to nominal voltage (1.2V). The sub-VT operation is shown to hold the minimum energy-point at roughly 0.29V, which represents a 2X energy-saving compared to the near-VT regime. Although energy-efficiency peaks in sub-VT for the circuits studied, we also show that in this ultra-low VDD the circuit timing and power suffer from substantially increased variability impact and a 30X performance drawback, with respect to near-VT.
Colombo, Dalton Martini. "Design of analog integrated circuits aiming characterization of radiation and noise." reponame:Biblioteca Digital de Teses e Dissertações da UFRGS, 2015. http://hdl.handle.net/10183/133731.
Full textThis thesis is focused on two challenges faced by analog integrated circuit designers when predicting the reliability of transistors implemented in modern CMOS processes: radiation and noise. Regarding radiation, the concern of this work is the Total Ionizing Dose (TID): accumulation of ionizing dose deposited (electrons and protons) over a long time in insulators leading to degradation of electrical parameters of transistors (e.g. threshold voltage and leakage). This work presents a case-study composed by bandgap-based and threshold voltagebased voltage reference circuits implemented in a commercial 130 nm CMOS process. A chip containing the designed circuits was irradiated through γ-ray Cobalt source (60 Co) and the impact of TID effects up to 490 krad on the output voltages is presented. It was found that the impact of radiation on the output voltage accuracy was similar or more severe than the variation caused by the process variability for most of the case-study circuits. For the bandgap-based reference implemented using thin-oxide and thick-oxide transistors, TID effects result in a variation of the output voltage of 5.5 % and 12%, respectively. For the threshold voltage references, the output variation was between 2% and 15% depending on the circuit topology. Regarding noise, the concern of this work is the transistor flicker noise under cyclostationary operation, that is, when the voltage at transistor gate terminal is constantly varying over time. Under these conditions, the flicker noise becomes a function of VGS; and its is not accurately predicted by traditional transistor flicker noise models. This thesis presents a case-study composed by voltage oscillators (inverter-based ring and LC-tank topologies) implemented in 45 and 130 nm CMOS processes. The oscillation frequency and its dependency on the bulk bias were investigated. Considering the ring-oscillator, the average oscillation frequency variation caused by supply voltage and bulk bias variation are 495 kHz/mV and 81 kHz/mV, respectively. The average oscillation frequency is 103.4 MHz for a supply voltage of 700 mV, and the measured averaged period jitter for 4 measured samples is 7.6 ps. For the LC-tank, the measured oscillation frequency was 2.419 GHz and the total frequency variation considering 1 V of bulk bias voltage was only ~ 0.4 %.
Saligane, Mehdi. "Adaptive body biasing system for margins reduction using delay and temperature monitoring at near threshold operation." Thesis, Aix-Marseille, 2016. http://www.theses.fr/2016AIXM4716.
Full textIoT applications continue to push towards ultra-low-power constrained ASICs, creating severe challenges to achieve sufficient power efficiency in extreme Voltage and Temperature conditions. Thus, it is necessary to build closed-loop compensation systems that are autonomous to environmental conditions especially temperature at sub-threshold regime. Two major work are proposed: an adaptive techniques that allow to enhance the performance of designs that leverage aggressive voltage scaling. we fully exploits the FD-SOI 28nm technology dual gate capabilities to both attain optimal power efficiency points and compensate for gradual changes in overall device performance due to process, voltage, and temperature variations. Our proposed compensation Unit system is a fully-digital error-prediction solution providing a compromise between industry reliability requirements and manufacturing guard-band reduction with low-invasiveness and post-silicon tunability. Critical-Paths timing monitors are distributed across the processor and tuned to match the closest critical paths. A programmable workload emulator allows to adapt and take into account the processor tasks. Generated warning Flags due to V-T variations are analyzed based on an adjustable warning rate and body bias is adapted correspondingly. Based on the operation voltage, either fine or coarse body biasing can be activated for compensation. The second part of this thesis addresses on-chip temperature monitoring that plagues aggressively voltage scaled ASICs. We propose to closely monitor temperature fluctuations at low-voltage but also hot-spot detection at nominal and over-drive supply voltage conditions
Martins, Mayler Gama Alvarenga. "Applications of functional composition for CMOS and emerging technologies." reponame:Biblioteca Digital de Teses e Dissertações da UFRGS, 2015. http://hdl.handle.net/10183/164452.
Full textThe advances in semiconductor industry over the last decades have been strongly based on continuous scaling down of dimensions in manufactured CMOS devices. The use of CMOS devices profoundly relies on AND/OR/Inverter logic. As the CMOS scaling is reaching its physical limits, researchers increase the effort to prolong the CMOS life. Also, it is necessary to investigate alternative devices, which in many cases implies the use of different basic logic operations. As the commercial synthesis tools are not able to handle these technologies efficiently, there is an opportunity to research alternative logic implementations better suited for these new devices. This thesis focuses on presenting efficient algorithms to design circuits in both CMOS and new technologies while integrating these algorithms into regular design flows. For this task, we apply the functional composition technique, to efficiently synthesize both CMOS and emerging technologies. The functional composition is a bottom-up synthesis approach, providing flexibility to implement algorithms with optimal or suboptimal results for different technologies. To investigate how the functional composition compares to the state-of-the-art synthesis methods, we propose to apply this synthesis paradigm into six different scenarios. Two of them focus on CMOS-based circuits, and other four are based on emerging technologies. Regarding CMOSbased circuits, we investigate functional composition to investigate multi-output factorization in a circuit resynthesis flow. Also, we manipulate approximate functions to synthesize approximate triple modular redundancy (ATMR) modules. Concerning emerging technologies, we explore functional composition over spin-diode circuits and other promising approaches based on different logic implementations: threshold logic, majority logic, and implication logic. Results present a considerable improvement over the state-of-the-art methods for both CMOS and emerging technologies applications, demonstrating the ability to handle different technologies and showing the possibility to improve technologies not explored yet.
Cardoso, Guilherme Schwanke. "Impacto dos desvios de tensão de limiar induzidos por radiação ionizante no desempenho dos blocos básicos de dois amplificadores operacionais complementares." reponame:Biblioteca Digital de Teses e Dissertações da UFRGS, 2012. http://hdl.handle.net/10183/61871.
Full textThis work studies the effects of Total Ionizing Dose (TID) in operational amplifiers as well as in their basics building blocks. The radiation from space may affect functionality of MOS structures. One the most affected parameters is the threshold voltage. Due to the difference between N-type and P-type transistors related to the mechanism of charge trapping into the oxides, these two devices exhibit different behaviors, related to the threshold voltage parameter according to accumulated dose. Therefore, this work investigates the behavior of two counterpart operational amplifiers. In this context, by means of SPICE simulations, threshold deviations are injected into the transistors by modifying the technology models of the devices. Thus, a set of simulations was performed in order to generate an estimative of tendency for some of performance parameters of operational amplifiers, such as: the gain-bandwidth product (GB), DC gain, THD (Total Harmonic Distortion). In this sense, it was possible to understand the mechanisms associated to performance degradation and also, to conclude which of both architectures is more robust related to TID.
Sebastian, Johny. "A Temperature stabilised CMOS VCO based on amplitude control." Diss., University of Pretoria, 2013. http://hdl.handle.net/2263/33447.
Full textDissertation (MEng)--University of Pretoria, 2013.
Electrical, Electronic and Computer Engineering
unrestricted
Ahmad, Salleh. "Développement et réalisation d'un circuit de microélectronique pour le détecteur spatial de rayons cosmiques JEM-EUSO." Thesis, Paris 11, 2012. http://www.theses.fr/2012PA112327.
Full textExtreme Universe Space Observatory on Japanese Experiment Module (JEM-EUSO) is conceived as the next generation cosmic rays experiment for observing the highly energetic particles above 5.10¹⁹ eV. The project is lead by RIKEN and supported by an active collaboration of more than 200 members from 13 countries. This observatory, in the shape of a wide field-of-view UV telescope, will be attached to the International Space Station (ISS) for a planned launch in 2017. Observing the Air Showers generated in troposphere from an altitude of 400 km, this space based cosmic rays experiment will offer a very large instantaneous detection surface, which is at least 100 times bigger than the largest land based cosmic rays observatory. The detection surface of JEM-EUSO will be equipped with around 5000 units of 8x8 pixels Multianode Photomultiplier (MAPMT). A radiation hardened mixed signal application-specific integrated circuit (ASIC), known as SPACIROC, has been proposed for reading out the MAPMT. This ASIC features 64-channel analog inputs, fast photon counting capabilities, charge measurements and high-speed data transfer. Above all, the power dissipation of this ASIC is required to be very low in order to comply with the strict power budget of JEM-EUSO. By taking the advantages of high speed AMS 0.35 µm Silicon-Germanium (SiGe) process, this ASIC integrates 64 fast Photon Counting channels. The photon counting time resolution is 30 ns, which allows the theoretical counting rate in the order of 10⁷ photons/s. The charge measurement system is based on Time-Over-Threshold which offers 8 measurement channels. Each measurement channel is composed of 8 pixels of the MAPMT and it is expected that this system will measure up to 200 pC. The digital part is then required to operate continuously and handles data conversion of each Photon Counting and Time-Over-Threshold channel. For the first version of this ASIC, one channel measurement channel for the dynode is also available. The digital data are transmitted via dedicated parallel communication links and within the defined Gate Time Unit (GTU) of 400 kHz frequency. The ASIC data output rate is in the vicinity of 200 Mbps or 576 bits/GTU. The power dissipation is kept strictly below 1 mW per channel or 64 mW for the ASIC. The first prototype of SPACIROC was sent for tapeout in March 2010 through Centre Multi Projet (CMP) prototyping services. The packaged ASICs and bare dies have been received in October 2010 which marked the characterization phase of this chip. After successful testing phase, SPACIROC chips were integrated into the front-end electronics of an instrument pathfinder for detecting the gamma ray bursts – Ultra Fast Flash Observatory (UFFO) which is foreseen to be launched in 2013. Towards the end of 2012, front-end board designed around SPACIROC chips have been fabricated for the EUSO-Balloon project. This balloon borne project will serve as a technical and engineering demonstrator of a fully miniaturized JEM-EUSO instrument which will be flown to the stratosphere at the altitude of 40 km. The second tapeout of this ASIC was done in December 2011. This second prototype, SPACIROC2, was tested from May 2012. The main improvements are as follows: lower power consumption due to better power management, enhancement in Photon Counting time resolution and extension the Time-Over-Threshold maximum input rate. The ongoing tests have shown that SPACIROC2 exhibits a good overall behavior and improvement compared to its predecessor
Bortolon, Felipe Todeschini. "Static noise margin analysis for CMOS logic cells in near-threshold." reponame:Biblioteca Digital de Teses e Dissertações da UFRGS, 2018. http://hdl.handle.net/10183/178664.
Full textThe advancement of semiconductor technology enabled the fabrication of devices with faster switching activity and chips with higher integration density. However, these advances are facing new impediments related to energy and power dissipation. Besides, the increasing demand for portable devices leads the circuit design paradigm to prioritize energy efficiency instead of performance. Altogether, this scenario motivates engineers towards reducing the supply voltage to the near and subthreshold regime to increase the lifespan of battery-powered devices. Even though operating in these regime offer interesting energy-frequency trade-offs, it brings challenges concerning noise tolerance. As the supply voltage reduces, the available noise margins decrease, and circuits become more prone to functional failures. In addition, near and subthreshold circuits are more susceptible to manufacturing variability, hence further aggravating noise issues. Other issues, such as wire minimization and gate fan-out, also contribute to the relevance of evaluating the noise margin of circuits early in the design Accordingly, this work investigates how to improve the static noise margin of digital synchronous circuits that will operate at the near/subthreshold regime. This investigation produces a set of three original contributions. The first is an automated tool to estimate the static noise margin of CMOS combinational cells. The second contribution is a realistic static noise margin estimation methodology that considers process-voltage-temperature variations. Results show that the proposed methodology allows to reduce up to 70% of the static noise margin pessimism. Finally, the third contribution is the noise-aware cell design methodology and the inclusion of a noise evaluation of complex circuits during the logic synthesis. The resulting library achieved higher static noise margin (up to 24%) and less spread among different cells (up to 62%).
Calhoun, Benton Highsmith 1978. "Low energy digital circuit design using sub-threshold operation." Thesis, Massachusetts Institute of Technology, 2005. http://hdl.handle.net/1721.1/35527.
Full textIncludes bibliographical references (p. 189-202).
Scaling of process technologies to deep sub-micron dimensions has made power management a significant concern for circuit designers. For emerging low power applications such as distributed micro-sensor networks or medical applications, low energy operation is the primary concern instead of speed, with the eventual goal of harvesting energy from the environment. Sub-threshold operation offers a promising solution for ultra-low-energy applications because it often achieves the minimum energy per operation. While initial explorations into sub-threshold circuits demonstrate its promise, sub-threshold circuit design remains in its infancy. This thesis makes several contributions that make sub-threshold design more accessible to circuit designers. First, a model for energy consumption in sub-threshold provides an analytical solution for the optimum VDD to minimize energy. Fitting this model to a generic circuit allows easy estimation of the impact of processing and environmental parameters on the minimum energy point. Second, analysis of device sizing for sub-threshold circuits shows the trade-offs between sizing for minimum energy and for minimum voltage operation.
(cont.) A programmable FIR filter test chip fabricated in 0.18pum bulk CMOS provides measurements to confirm the model and the sizing analysis. Third, a low-overhead method for integrating sub-threshold operation with high performance applications extends dynamic voltage scaling across orders of magnitude of frequency and provides energy scalability down to the minimum energy point. A 90nm bulk CMOS test chip confirms the range of operation for ultra-dynamic voltage scaling. Finally, sub-threshold operation is extended to memories. Analysis of traditional SRAM bitcells and architectures leads to development of a new bitcell for robust sub-threshold SRAM operation. The sub-threshold SRAM is analyzed experimentally in a 65nm bulk CMOS test chip.
by Benton H. Calhoun.
Ph.D.
Molin, Quentin. "Contribution à l’étude de la robustesse des MOSFET-SiC haute tension : Dérive de la tension de seuil et tenue aux courts-circuits." Thesis, Lyon, 2018. http://www.theses.fr/2018LYSEI111.
Full textThis manuscript is a contribution to reliability and robustness study of MOSFET components on silicon carbide “SiC”, wide band gap semiconductor with better characteristics compared to silicon “Si” material. Those new power switches can provide better switching frequencies or voltage withstanding for example in power converter. SiC MOSFET are the results of approximately 10 years of research and development and can provide increased performances and weight to some converter topology for high voltage direct current networks. Others power switches available are still introduced and an introduction to reliability is explaining why such work on this new power switches is important. Transition from Si technologies to SiC ones require a lot of work regarding its robustness. Before showing reliability and robustness results is presented I give a lot of details regarding to the measurement and monitoring of key parameters used in the next chapters. The results of our tests on the threshold voltage instability are presented and how we validated an empirical model on this drift. This was used to propose an enhanced measurement protocol on the threshold voltage. Static and dynamic experimental results presented next will show if the voltage drift during ageing is significant or not. Further analysis is proposed to add more insight on the understanding of the oxide degradation mechanisms through C-V and charge pumping measurements. Finally, the ageing results presented on 1,7 kV SiC MOSFET are focused on the short-circuit and repetitive short-circuit behavior of the same components. Drain to source voltage influence on critical energy during this particular and stressful operation mode is studied. This time, the results are worrying.The last chapter is confidential
Diril, Abdulkadir Utku. "Circuit Level Techniques for Power and Reliability Optimization of CMOS Logic." Diss., Georgia Institute of Technology, 2005. http://hdl.handle.net/1853/6929.
Full textChen, Jian. "ULTRA LOW POWER READ-OUT INTEGRATED CIRCUIT DESIGN." Wright State University / OhioLINK, 2012. http://rave.ohiolink.edu/etdc/view?acc_num=wright1345480982.
Full textLIMA, FILHO Cristóvão Mácio de Oliveira. "Circuito integrado para multiplicação em GF(24) utilizando portas de limiar linear." Universidade Federal de Campina Grande, 2010. http://dspace.sti.ufcg.edu.br:8080/jspui/handle/riufcg/1504.
Full textMade available in DSpace on 2018-08-20T19:33:13Z (GMT). No. of bitstreams: 1 CRISTOVÃO MÁCIO DE OLIVEIRA LIMA FILHO - DISSERTAÇÃO PPGEE 2010..pdf: 2095765 bytes, checksum: 1c2232fd0f1557df7308e04bad6426c2 (MD5) Previous issue date: 2010-06-09
Esta dissertação descreve o desenvolvimento de um leiaute de uma nova arquitetura de multiplicador em corpos finitos baseada no multiplicador de Mastrovito. Tal arquitetura tem como unidades de processamento as portas de limiar linear, que é o elemento básico de uma rede neural discreta. As redes neurais discretas implementadas com portas de limiar linear permitem reduzir a complexidade de certos circuitos antes implementados com lógica tradicional (Portas AND, OR e NOT). Com isso, a idéia de estender o uso de portas de limiar linear em operações aritméticas em corpos finitos se torna bastante atraente. Assim, para comprovar de forma prática, a eficiência das portas de limiar linear, a arquitetura de um multiplicador em GF(24), proposta em (LIDIANO - 2000), foi implementada utilizando as ferramentas de desenho de leiaute de circuito integrado da Mentor Graphics®. Os resultados da simulação do leiaute do circuito integrado do multiplicador em GF(24) são apresentados. Os mesmos indicaram um desempenho abaixo do esperado, devido a complexidade espacial do multiplicador em GF(2n) com 4=n não ser suficiente para que as vantagens da implementação com portas de limiar linear sejam visualizada.
This dissertation describes the development of a layout of new multiplication architecture in Galois field based on the Mastrovito multiplier. The processing unit of this new architecture is a threshold logic gate, which is a basic element of a discrete neural network. The discrete neural network built with threshold logic gates allow reduce de complexity of a certain circuits once built using traditional boolean gates (AND, OR and NOT). Therewith, the idea of extending the advantages of the threshold logic gates for arithmetic operations in Galois field to become very attractive. Thus, to confirm into practice form, the advantages of the threshold logic gates, a multiplier architecture in GF(24), proposed in (LIDIANO - 2000), was implemented using the integrated circuit layout tools of Mentor Graphics®. The results from simulations of the layout of multiplier in GF(24) are presented. These results indicated a low performance, due to the space complexity of GF(2n) multiplier with n = 4 is not enough for show the advantages of the multiplier implementation with threshold logic gates.
Scaff, Robson. "Caracterização elétrica de dispositivos tipo ISFET com estrutura Si/SiO2/Si3N4 para medição de pH utilizando pseudoeletrodos de Pt, Ag e Au." Universidade de São Paulo, 2008. http://www.teses.usp.br/teses/disponiveis/3/3140/tde-01102008-142910/.
Full textIn this work, it is presented a study of the electrical characterization of Si/SiO2/Si3N4 estructured ISFETs using Pt, Ag and Au pseudoelectrodes as alternative references to the conventional ones for pH measurements. At first, it was used three different methods (linear extrapolation method to obtain the threshold voltage, second derivative method to obtain the threshold voltage and subthreshold-current method, respectively) having as objective to obtain the sensitivity of the ISFETs (mV/pH) and to analyze the reliability of the results using the standard Ag/AgCl reference electrode. Subsequently, using the Ag/AgCl electrode as a base for comparation, it was studied the performance of Pt, Ag and Au pseudoelectrodes for pH measurement. As a result, it was observed that the Pt and Ag electrodes presented sensitivity similar to the standard Ag/AgCl reference electrode (~50mV/pH) for pH in the range of 1 to 3. On the other hand, the Au pseudoelectrode presented an approximately linear behavior in all studied range of the pH (1 to 10), but, with lower sensitivity varying in the range of 32 to 34mV/pH.
Rosa, André Luís Rodeghiero. "Projeto de células e circuitos VLSI digitais CMOS para operação em baixa tensão." reponame:Biblioteca Digital de Teses e Dissertações da UFRGS, 2015. http://hdl.handle.net/10183/118526.
Full textThis work proposes a strategy for designing VLSI circuits to operate in a very-wide Voltage-Frequency Scaling (VFS) range , from the supply voltage at which the minimum energy per operation (MEP) is achieved, at the Near-Threshold regime, up to the nominal supply voltage for the processes, if so demanded by applications workload. This master thesis proposes the sizing of transistors for three library cells using MOSFETs with different threshold voltages: Regular-VT (RVT), High-VT (HVT), and Low-VT (LVT). These libraries have five combinational cells: INV, NAND, NOR, OAI21, and AOI22 with multiple strengths. The sizing rule for the transistors of the digital cells was an adapted version from related works and it is directly driven by requiring equal rise and fall times at the output for each cell in order to attenuate variability effects in the low supply voltage regime. Two registers were also included in the RVT library cell. This library cell was characterized for typical, fast, and slow processes conditions of a CMOS 65nm technology; for operation at -40ºC, 25ºC, and 125ºC temperatures, and for supply voltages varying from 200 mV up to 1.2V, to include the region of interest, for VDD near the MEP. Experiments were performed with ten VLSI circuit benchmarks: notch filter, 8051 compatible core, four combinational and four sequential ISCAS benchmark circuits. From the energy savings point of view, to operate in MEP results on average reduction of 54.46% and 99.01% when compared with the sub-threshold and nominal supply voltages, respectively. This analysis was performed for 25⁰C and typical process. When considered the performance, the very-wide VFS regime enables maximum operating frequencies varying from hundreds of kHz up to MHz/GHz at -40ºC and 25ºC, and from MHz up to GHz at 125ºC. This master thesis results, when compared with related works, showed on average an energy reduction and performance gain of 24.1% and 152.68%, respectively, for the same circuit benchmarks operating with VDD at the minimum energy point (MEP).
Kripalani, Rishi A. "Novel Integration of Conductive-ink Circuitry with a Paper-based Microfluidic Battery as an All-printed Sensing Platform." DigitalCommons@CalPoly, 2016. https://digitalcommons.calpoly.edu/theses/1694.
Full textRummens, François. "Systèmes intégrés pour l'hybridation vivant-artificiel : modélisation et conception d'une chaîne de détection analogique adaptative." Thesis, Bordeaux, 2015. http://www.theses.fr/2015BORD0431/document.
Full textBioelectronics is a transdisciplinary field which develops interconnection devicesbetween biological systems presenting electrical activity and the world of electronics. Thiscommunication with living tissues implies to observe the electrical activity of the cells andtherefore requires an electronic acquisition chain.The use of Multi / Micro Electrode Array leads to systems that acquire a large numberof parallel channels, thus consumption and congestion of acquisition circuits have asignificant impact on the viability of the system to be implanted.This thesis proposes two reflections about these acquisition circuits. One of thesereflections relates to amplifier circuits, their input impedance and consumption; the otherconcerns an analogue action potentials detector, its modeling and optimization.These theoretical work leading to concrete results, an ASIC was designed,manufactured, tested and characterized in this thesis. This eight-channel ASIC thereforeincludes amplifiers and analogue action potentials detector and is the main contribution of thisthesis
Paniz, Vitor. "Simulação elétrica do efeito de dose total em células de memória estática (SRAM)." reponame:Biblioteca Digital de Teses e Dissertações da UFRGS, 2010. http://hdl.handle.net/10183/27264.
Full textThis work presents the study of the static RAM (SRAM) cell with 6 transistor, using CMOS technology, under radiation environment. The electrical behavior of the cell is evaluated using SPICE simulation (HSPICE, 2009; KIME, 1998) and applying Monte Carlo analysis. The effect of total ionization dose is analyzed through the modeling of threshold voltage shifts and leakage currents. The case study processes of this work do not use any special fabrication steps to make the circuit tolerant to radiation. The behavior of the cell related to write propagation time, read noise margin and energy consumption is evaluated through scripts written to support the simulation campaign. The simulations were performed for both 130nm and 350nm technologies, making possible to compare which one is more resistant to radiation. To further explore the dose effect in the case where the radiation does not affect all transistors in exactly the same way, the threshold voltage (Vth) of the transistors is varied randomly in the Monte Carlo analysis. To consider the leakage current, it is added a current source between drain and source of each transistor. The values of Vth and leakage current were obtained in reference (HAUGERUD, 2005) for the 130nm and in reference (LACOE, 1998) for the 350nm technology. The simulations show that the behavior was consistent with results already known, in which the older technology (350nm) is more significant changes then the most current technology, for the TID.
yasami, saeed. "Design and Evaluation of an Ultra-Low PowerLow Noise Amplifier LNA." Thesis, Linköping University, Department of Electrical Engineering, 2009. http://urn.kb.se/resolve?urn=urn:nbn:se:liu:diva-50923.
Full textThis master thesis deals with the study of ultra low power Low Noise Amplifier (LNA) for use inmedical implant device. Usually, low power consumption is required for a long battery lifetime andlonger operation. The target technology is 90nm CMOS process.First basic principle of LNA is discussed. Then based on a literature review of LNA design, theproposed LNA is presented in sub-threshold region which reduce power consumption through scalingthe supply voltage and through scaling current.The circuit implementation and simulations is presented to testify the performance of LNA .Besides thepower consumption simulated under the typical supply voltage (1V), it is also measured under someother low supply voltages (down to 0.5V) to investigate the minimum power consumption and theminimum noise figure. Evaluation results show that at a supply voltage of 1V the LNA performs a totalpower consumption of 20mW and a noise of 1dB. Proper performance is achieved with a current ofdown to 200uA and supply voltage of down to 0.45V, and a total power consumption of 200uW
Carstensen, Anna-Karin. "Connect : Modelling Learning to Facilitate Linking Models and the Real World trough Lab-Work in Electric Circuit Courses for Engineering Students." Doctoral thesis, Linköpings universitet, Fysik och elektroteknik, 2013. http://urn.kb.se/resolve?urn=urn:nbn:se:liu:diva-97395.
Full textEn stående fråga som lärare i naturvetenskapliga och tekniska utbildningar ställer är varför elever och studenter inte kopplar samman kunskaper från teoretiska kursmoment med den verklighet som möts vid laborationerna. Ett vanligt syfte med laborationer är att åstadkomma länkar mellan teori och verklighet, men dessa uteblir ofta. Många gånger används avancerade matematiska modeller och grafiska representationer, vilka studenterna lärt sig i tidigare kurser, men de har sällan eller aldrig tillämpat dessa kunskaper i andra ämnen. En av dessa matematiska hjälpmedel är Laplacetransformen, som främst används för att lösa differentialekvationer, och åskådliggöra transienta förlopp i ellära eller reglerteknik. På många universitet anses Laplacetransformen numera för svår för studenterna på kortare ingenjörsutbildningar, och kurser eller kursmoment som kräver denna har strukits ut utbildningsplanerna. Men, är det för svårt, eller beror det bara på hur man presenterar Laplacetransformen? Genom att låta studenterna arbeta parallellt med matematiken och de laborativa momenten, under kombinerade lab-lektionspass, och inte vid separata lektioner och laborationer, samt genom att variera övningsexemplen på ett mycket systematiskt sätt, enligt variationsteorin, visar vår forskning att studenterna arbetar med uppgifterna på ett helt annat sätt än tidigare. Det visar sig inte längre vara omöjligt att tillämpa Laplacetransformen redan under första året på civilingenjörsutbildning inom elektroteknik. Ursprungliga syftet med avhandlingen var att visa hur studenter arbetar med laborationsuppgifter, speciellt i relation till målet att länka samman teori och verklighet hur man kan förändra studenternas aktivitet, och därmed studenternas lärande, genom att förändra laborationsinstruktionen på ett systematiskt sätt. Under våren 2002 videofilmades studenter som utförde laborationer i en kurs i elkretsteori. Deras aktivitet analyserades. Speciellt studerades vilka frågor studenterna ställde till lärarna, på vilket sätt dessa frågor besvarades, och på vilket sätt svaren användes i den fortsatta aktiviteten. Detta ledde fram till en modell för lärande av sammansatta begrepp, som kunde användas både för att analysera vad studenterna gör och vad lärarna förväntar sig att studenterna ska lära sig. Med hjälp av modellen blev det då möjligt att se vad som behövde ändra i instruktionerna för att studenterna lättare skulle kunna utföra de aktiviteter som krävs för att länka teori och verklighet. Syftet med avhandlingen är därmed att ta fram en modell för lärande av ett sammansatt begrepp visa hur denna modell kan användas för såväl analys av önskat lärandeobjekt, som av studenternas aktivitet under laborationer, och därmed det upplevda lärandeobjektet använda modellen för att analysera vilka förändringar som är kritiska för studenters lärande. Modellen användes för att förändra laborationsinstruktionerna. Lärarinterventionerna inkluderades i instruktionerna på ett systematiskt sätt utifrån dels vilka frågor som ställdes av studenterna, dels vilka frågor studenterna inte noterade, men som lärarna velat att studenterna skulle använda för att skapa relationer framför allt mellan teoretiska aspekter och mätresultat. Dessutom integrerades räkneövningar och laborationer. Videoinspelningar utfördes även våren 2003, då de nya instruktionerna användes. Även dessa analyserades med avseende på studenternas aktiviteter. Skillnader mellan resultaten från 2002 och 2003 står i fokus. Avhandlingens resultatdel består av: Analys av studenternas frågor och lärarnas svar under labkursen 2002 Analys av de länkar studenterna behöver skapa för att lära Analys av laborationsinstruktionerna före och efter förändringarna Analys av den laborationsaktivitet som blev resultatet av de nya instruktionerna, och vilket lärande som då blev möjligt Avhandlingen avlutas med en diskussion om de slutsatser som kan dras angående möjligheter att via forskning utveckla modeller av undervisningssekvenser för lärande där målet är att länka samman teori och verklighet
Labouré, Iooss Marie-José. "Faisabilité d'une carte électronique d'opérateurs de seuillage : déformation d'objets plans lors de transformations de type morphologique." Saint-Etienne, 1987. http://www.theses.fr/1987STET4014.
Full textPonnuswami, Ashok Kumar. "Intractability Results for some Computational Problems." Diss., Georgia Institute of Technology, 2008. http://hdl.handle.net/1853/24638.
Full textHueso, González Jaime. "Analysis of wedge-shaped waveguides and design of multipactor-resistant microwave bandpass filters. Análisis de guías de onda en forma de cuña y diseño de filtros de microondas paso-banda resistentes al efecto multipactor." Doctoral thesis, Editorial Universitat Politècnica de València, 2013. http://hdl.handle.net/10251/33750.
Full textThe multipactor RF breakdown effect has been object of numerous studies for over 80 years, since the development of the first particle accelerators in the beginning of the 20th century. Around the middle of that century, with the development of high power sources for radar applications and with the emergence of the artificial satellites, a new impulse was given to the multipactor research, since it became a risk for expensive commercial projects. Traditionally, waveguides with canonical cross sections, like rectangular or coaxial ones, have been the building blocks of most microwave devices. Their main advantages are that their electromagnetic fields can be solved analytically, enabling their direct application in complex designs, as well as their manufacturing simplicity. But over the years the computation capabilities and algorithms have continuously evolved, which has broadened the spectrum of possible topologies to almost arbitrary geometries, offering the designer more room for creativity. However, most of the current microwave devices still trust on the mature canonical waveguide technologies, which do not require an additional investment in manufacturing equipment. The suppression of the multipactor effect is the motivation for considering an innovative waveguide topology, like the wedge-shaped waveguide. It is within this context where this PhD work aims to offer a contribution. On the one hand, a numerical model for predicting the multipactor breakdown effect in wedge-shaped hollow waveguides has been developed. This tool has aided in the derivation of optimised design criteria. On the other hand, a bandpass filter synthesis method for rectangular waveguide has been adapted in order to calculate a similar design based on the new topology. As a culmination, the designed structures have been manufactured and tested, in order to verify their electromagnetic performance and their multipactor sensibility. A patent was also filed to protect these new filters. In short, this work has comprised the cycle of activities related to the whole industrial development of a passive microwave device: basic research, analysis, design, manufacturing and qualification through testing. These measurements have verified the predicted improvement in the multipactor thresholds of microwave filters with wedge-shaped topology, and have confirmed that they can offer similar frequency responses to the equivalent rectangular waveguide ones. The implications of the results have been thoroughly evaluated and summarised in this document. As a final remark, this research document has been drafted to reflect the natural learning process, and to show the rights and wrongs experienced in the way, which all have led to the final result. Such an endeavour would not have been possible without the support and commitment of several professionals from different European research centres and industries (Universidad Polit'ecnica de Valencia, Universidad de Valencia, European Space Agency, Thales Alenia Espacio Spain, Technische Universit¿at Darmstadt, 'Ecole Polythecnique F'ed'erale de Lausanne, Tesat, Aurora Software and Testing and Val Space Consortium), for which I am grateful.
Hueso González, J. (2013). Analysis of wedge-shaped waveguides and design of multipactor-resistant microwave bandpass filters. Análisis de guías de onda en forma de cuña y diseño de filtros de microondas paso-banda resistentes al efecto multipactor [Tesis doctoral]. Editorial Universitat Politècnica de València. https://doi.org/10.4995/Thesis/10251/33750
Alfresco
Arpin, Louis. "Conception et intégration d'une architecture numérique pour l'ASIC LabPET[indice supérieur TM] II, un circuit de lecture d'une matrice de détection TEP de 64 pixels." Mémoire, Université de Sherbrooke, 2012. http://hdl.handle.net/11143/6148.
Full textTeichmann, Jürgen. "Untersuchung allgemeiner Eigenschaften, Optimierung und integrierte Realisierung logischer Schaltungen mit hystereseförmiger Übertragungskennlinie." Doctoral thesis, Universitätsbibliothek Chemnitz, 2014. http://nbn-resolving.de/urn:nbn:de:bsz:ch1-qucosa-132705.
Full textTo enhance the noise immunity of digital signal transmission, a hysteresis is introduced to the transfer characteristic of integrated digital circuit. The influence of height of the two threshold values to the number of occurring errors is examined by a computer program. A random number generator generates signals of different heights and widths, which are superimposed on the undisturbed signals. There is an implementation of an integrated circuit on a TTL master. The DC performane is calculated by means of a specially developed circuit analysis program. Measurement results are presented
Gillardin, Gérard. "Mise au point d'un appareillage de photoluminescence a haute resolution spatiale : application a l'etude des semiconducteurs et dispositifs electroniques iii-v." Clermont-Ferrand 2, 1988. http://www.theses.fr/1988CLF2D216.
Full textPlatt, John C. "Sequential Threshold Circuits." Thesis, 1985. https://thesis.library.caltech.edu/6915/1/Platt_jc_1985.pdf.
Full textHsieh, Chung-Han, and 謝宗翰. "Multi-Channel-Length Sub-Threshold CMOS Circuits." Thesis, 2011. http://ndltd.ncl.edu.tw/handle/51703095557966187636.
Full text國立中正大學
電機工程研究所
99
There are more special process procedure in nano era which changed the characteristic of semiconductor. The performance and energy consumption will get lost once designer still keep the same design technique without process consideration. A special byproduct called reverse short-channel-effect (RSCE) which can improve the circuit performance is involved. This paper will explore the circuit performance by utilizing TSMC 65 nm process. At present, foundry didn’t provide the special model for sub-threshold circuit design application. It is difficult to design a sub-threshold circuit due to the leakage at the sub-threshold operation. This paper will focus on the nanometer technology circuit design at sub-threshold operation. And design the standard cell library and flip-flops with reverse short-channel-effect (RSCE). This paper is also describe a device size optimization which be considered for sub-threshold operation. Experiment results using ISCAS’2003 benchmarks and fabricated in TSMC 65nm CMOS technology show that the critical path delay, power consumption.
Tsai, Chen-Kuan, and 蔡正寬. "Static Timing Analysis for Threshold Logic Circuits." Thesis, 2012. http://ndltd.ncl.edu.tw/handle/33554396451504851155.
Full text國立清華大學
資訊工程學系
100
Threshold logic has been known as an alternative representation of Boolean logic due to its compactness characteristic. Recently, the developments in advanced nanotechnologies have also promised efficient implementations of threshold logic gates. Thus, many synthesis methodologies for threshold logic circuits have been proposed. On the other hand, the delay models of threshold logic gates accompanied with their implementation development have also been proposed. However, there has not been a timing analysis algorithm for threshold logic circuits to the best of our knowledge. Since threshold logic has a different mechanism in functional evaluation compared to the traditional Boolean logic, a threshold logic gate can represent a more complex function. As a result, the path sensitization criterion for criticality analysis in threshold logic circuits is also different. In this work, we propose a path sensitization criterion for threshold logic circuits, and develop a static timing analysis algorithm. The experimental results show the accuracy and efficiency of the proposed algorithm compared to the dynamic simulation approach for a set of MCNC and IWLS 2005 benchmarks.
Lin, Yu-Yun, and 林郁芸. "Design Automation for Sub-Threshold Operational Amplifier Circuits." Thesis, 2016. http://ndltd.ncl.edu.tw/handle/80723540973249436258.
Full text國立中央大學
電機工程學系
105
Power has become the primary design constraint for chip designers today. To reduce power and increase service time, low-voltage low-power design becomes more and more important. One of the possible ways to achieve this goal is sub-threshold circuit design. By operating transistors at the region that Vdd is less than the transistor threshold voltage (Vdd
"Testing of threshold logic latch based hybrid circuits." Master's thesis, 2013. http://hdl.handle.net/2286/R.I.20796.
Full textDissertation/Thesis
M.S. Electrical Engineering 2013
"Modeling and Implementation of Threshold Logic Circuits and Architectures." Doctoral diss., 2010. http://hdl.handle.net/2286/R.I.8637.
Full textDissertation/Thesis
Ph.D. Computer Science 2010
Kuo, Pin-Yi, and 郭品宜. "On Rewiring and Simplification for Canonicity in Threshold Logic Circuits." Thesis, 2011. http://ndltd.ncl.edu.tw/handle/24438379402320702667.
Full text國立清華大學
資訊工程學系
99
重接線已高度發展並廣泛地被運用在傳統布林邏輯設計上的合成與最佳化;臨界邏輯,相較於布林邏輯擁有較精簡的特性,是一種新的邏輯表示方式。現今,伴隨著奈米材料技術的演進,臨界邏輯上的研究,包含多層合成、驗證與測試,皆蓬勃發展。這篇論文提出了一個實作在臨界邏輯電路的重接線演算法,藉由移除一個目標線,並加上相對應的改正網路來修正電路的功能性;同時使一個臨界邏輯閘以標準型態表示的簡化程序也被提出,標準表示也是功能性驗證的一重要性質。實驗結果展現了此演算法實作在臨界邏輯電路上的邏輯重建能力;除此之外,在合成一個具有新輸入端數目限制的臨界網路,相較於最先進的臨界邏輯合成演算法,我們提出的方法加快了7.1倍的速度。
Celinski, Peter. "Threshold logic based implementation of high performance VLSI arithmetic circuits." Thesis, 2006. http://hdl.handle.net/2440/122095.
Full textThesis (Ph.D.) -- University of Adelaide, School of Electrical and Electronic Engineering, 2007
Zangeneh, Mahmoud. "Designing energy-efficient sub-threshold logic circuits using equalization and non-volatile memory circuits using memristors." Thesis, 2015. https://hdl.handle.net/2144/16096.
Full textKrebs, Andreas [Verfasser]. "Typed semigroups, majority logic, and threshold circuits / vorgelegt von Andreas Krebs." 2008. http://d-nb.info/991466683/34.
Full text