Journal articles on the topic 'Thin film depositions'

To see the other types of publications on this topic, follow the link: Thin film depositions.

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 journal articles for your research on the topic 'Thin film depositions.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse journal articles on a wide variety of disciplines and organise your bibliography correctly.

1

ILIESCU, Ciprian. "A COMPREHENSIVE REVIEW ON THIN FILM DEPOSITIONS ON PECVD REACTORS." Annals of the Academy of Romanian Scientists Series on Science and Technology of Information 14, no. 1-2 (2021): 12–24. http://dx.doi.org/10.56082/annalsarsciinfo.2021.1-2.12.

Full text
Abstract:
The deposition of thin films by Plasma Enhanced Chemical Vapor Deposition (PECVD) method is a critical process in the fabrication of MEMS or semiconductor devices. The current paper presents an comprehensive overview of PECVD process. After a short description of the PECVD reactors main layers and their application such as silicon oxide, TEOS, silicon nitride, silicon oxynitride, silicon carbide, amorphous silicon, diamond like carbon are presented. The influence of the process parameters such as: chamber pressure, substrate temperature, mass flow rate, RF Power and RF Power mode on deposition rate, film thickness uniformity, refractive index uniformity and film stress were analysed. The main challenge of thin films PECVD deposition for Microelectromechanical Systems (MEMS)and semiconductor devices is to optimize the deposition parameters for high deposition rate with low film stress which and if is possible at low deposition temperature.
APA, Harvard, Vancouver, ISO, and other styles
2

Kuchakova, Iryna, Maria Daniela Ionita, Eusebiu-Rosini Ionita, Andrada Lazea-Stoyanova, Simona Brajnicov, Bogdana Mitu, Gheorghe Dinescu, et al. "Atmospheric Pressure Plasma Deposition of Organosilicon Thin Films by Direct Current and Radio-frequency Plasma Jets." Materials 13, no. 6 (March 13, 2020): 1296. http://dx.doi.org/10.3390/ma13061296.

Full text
Abstract:
Thin film deposition with atmospheric pressure plasmas is highly interesting for industrial demands and scientific interests in the field of biomaterials. However, the engineering of high-quality films by high-pressure plasmas with precise control over morphology and surface chemistry still poses a challenge. The two types of atmospheric-pressure plasma depositions of organosilicon films by the direct and indirect injection of hexamethyldisiloxane (HMDSO) precursor into a plasma region were chosen and compared in terms of the films chemical composition and morphology to address this. Although different methods of plasma excitation were used, the deposition of inorganic films with above 98% of SiO2 content was achieved for both cases. The chemical structure of the films was insignificantly dependent on the substrate type. The deposition in the afterglow of the DC discharge resulted in a soft film with high roughness, whereas RF plasma deposition led to a smoother film. In the case of the RF plasma deposition on polymeric materials resulted in films with delamination and cracks formation. Lastly, despite some material limitations, both deposition methods demonstrated significant potential for SiOx thin-films preparation for a variety of bio-related substrates, including glass, ceramics, metals, and polymers.
APA, Harvard, Vancouver, ISO, and other styles
3

Gutwirth, Jan, Magdaléna Kotrla, Tomáš Halenkovič, Virginie Nazabal, and Petr Němec. "Tailoring of Multisource Deposition Conditions towards Required Chemical Composition of Thin Films." Nanomaterials 12, no. 11 (May 27, 2022): 1830. http://dx.doi.org/10.3390/nano12111830.

Full text
Abstract:
The model to tailor the required chemical composition of thin films fabricated via multisource deposition, exploiting basic physicochemical constants of source materials, is developed. The model is experimentally verified for the two-source depositions of chalcogenide thin films from Ga–Sb–Te system (tie-lines GaSb–GaTe and GaSb–Te). The thin films are deposited by radiofrequency magnetron sputtering using GaSb, GaTe, and Te targets. Prepared thin films are characterized by means of energy dispersive X-ray analysis coupled with a scanning electron microscope to determine the chemical composition and by variable angle spectroscopic ellipsometry to establish film thickness. Good agreement between results of calculations and experimentally determined compositions of the co-deposited thin films is achieved for both the above-mentioned tie-lines. Moreover, in spite of all the applied simplifications, the proposed model is robust to be generally used for studies where the influence of thin film composition on their properties is investigated.
APA, Harvard, Vancouver, ISO, and other styles
4

Usha Rajalakshmi, P., and Rachel Oommen. "Structural and Optical Characterization of Chemically Deposited Cuprous Oxide (Cu2O) Thin Film." Advanced Materials Research 678 (March 2013): 118–22. http://dx.doi.org/10.4028/www.scientific.net/amr.678.118.

Full text
Abstract:
Thin films of cuprous oxide are grown on microscope glass slides by chemical bath deposition technique. Molar solutions of copper nitrate, hydrazine and TEA constituted the chemical bath. The depositions are made by optimizing the concentration of precursor solution. X-ray diffraction measurements revealed the phase formation in the oxide films. The optical characteristics of Cu2O films are analyzed by means of UV-Vis-NIR spectrophotometer. The effect of annealing on the structural and optical properties of the film is investigated. The calculated direct optical band gap of the films is in the range of 2.4-1.8 eV.
APA, Harvard, Vancouver, ISO, and other styles
5

Tuttle, B. A., and R. W. Schwartz. "Solution Deposition of Ferroelectric Thin Films." MRS Bulletin 21, no. 6 (June 1996): 49–54. http://dx.doi.org/10.1557/s088376940004608x.

Full text
Abstract:
Solution deposition has been used by almost every electroceramic research-and-development organization throughout the world to evaluate thin films. Ferrite, high-temperature-superconductor, dielectric, and antireflection coatings are among the electroceramics for which solution deposition has had a significant impact. Lithium niobate, lithium tantalate, potassium niobate, lead scandium tantalate, lead magnesium niobate, and bismuth strontium tantalate are among the ferroelectric thin films processed by solution deposition. However, lead zir-conate titanate (PZT) thin films have received the most intensive study and will be emphasized in this article.Solution deposition facilitates stoichiometric control of complex mixed oxides better than other techniques such as sputter deposition and metalorganic chemical vapor deposition (MOCVD). Solution deposition is a fast, cost-efficient method to survey extensive ranges of film composition. Further it is a process compatible with many semiconductor-fabrication technologies, and it may be the deposition method of choice for applications that do not require conformal depositions and that have device dimensions of 2 μm or greater. Specific applications for which solution deposition is commercially viable include decoupling capacitors, uncooled pyroelectric infrared detectors, piezoelectric micromotors, and chemical microsensors based on surface-acoustic-wave technology. Reviews of some of the more fundamental aspects of solution-deposition processing may be found in the scientific literature.
APA, Harvard, Vancouver, ISO, and other styles
6

Hsieh, Chi Hua, Li Te Tsou, Sheng Hao Chen, Huai Yi Chen, Yao Jen Lee, Chiung Hui Lai, and Horng Show Koo. "Comparison of Characteristics of Rapid Thermal and Microwave Annealed Amorphous Silicon Thin Films Prepared by Electron Beam Evaporation and Low Pressure Chemical Vapor Deposition." Advanced Materials Research 663 (February 2013): 372–76. http://dx.doi.org/10.4028/www.scientific.net/amr.663.372.

Full text
Abstract:
In this study we use chemical and physical vapor depositions to fabricate amorphous silicon (a-Si) films. We also use traditional rapid thermal annealing (RTA) and advanced microwave annealing (MWA) to activate or crystallize a-Si films and then observe their sheet resistances and crystallization. We discovered, although the cost of films fabricated by electron beam (e-beam) evaporation is relatively lower than by chemical vapor deposition (CVD), the effects of the former method are poorer whether in sheet resistance or film crystallization. In addition, only at the doping layer prepared by CVD can film crystallization degree produced by MWA match RTA.
APA, Harvard, Vancouver, ISO, and other styles
7

Nadzari, Khairul Aizat, Muhammad Firdaus Omar, Nor Shahira Md Rudin, and Abd Khamim Ismail. "Structural Analysis of DLC Thin Film Using X-Ray Reflectivity and Raman Spectroscopy Techniques." Key Engineering Materials 908 (January 28, 2022): 543–48. http://dx.doi.org/10.4028/p-x8wahl.

Full text
Abstract:
The characteristics of sputtered amorphous diamond-like carbon-containing copper (DLC: Cu films) films deposited on Si (100) substrates and Si (111) in argon gas-filled chamber using carbon target under different substrates deposition time, and RF power. The samples were deposited by RF magnetron sputtering and analyzed using Raman spectroscopy and X-ray reflectivity (XRR) methods. Different parameters of depositions were used to study the structure, thickness, roughness, and density of the samples. The Cu preliminary layer act as a catalyst to growth the DLC thin-film analyzed using XRR analysis to measure thickness, roughness, and density of the thin films. The film structures of the samples were analyzed using Raman spectroscopy with a 532nm laser source. Gaussian peak shapes were used in Raman spectrum fitting to analyzed to measure the D band and G band for both samples. The Films thickness, roughness, and mass density were studied by XRR techniques using XRD to acquire the multilayer structure of thin films grown by magnetron sputtering.
APA, Harvard, Vancouver, ISO, and other styles
8

Soonmin, Ho. "Recent Advances in the Growth and Characterizations of SILAR-Deposited Thin Films." Applied Sciences 12, no. 16 (August 16, 2022): 8184. http://dx.doi.org/10.3390/app12168184.

Full text
Abstract:
Many researchers have reported on the preparation and characterization of thin films. The prepared thin films could be used in lasers, cathodic ray tubes, solar cells, infrared windows, ultraviolet light emitting diodes, sensors, supercapacitors, biologic applications, and optoelectronic applications. The properties of these thin films strongly depend on the deposition techniques. Throughout the years, many investigations into the production of various types of thin films (by using the successive ionic layer adsorption and reaction (SILAR) method) were conducted. This method attracts interest as it possesses many advantages when compared to other deposition methods. For example, large area depositions could be carried out in any substrates at lower temperatures via inexpensive instruments; moreover, a vacuum chamber is not required, it has an excellent growth rate, and the unique film properties could be controlled. In this work, metal sulfide, metal selenide, metal oxide, and metal telluride were deposited on substrates by using the SILAR method. According to the findings, both thick and thin films could be synthesized under specific conditions during the experiment. Additionally, the results showed that the number of deposition cycles, rinsing times, immersion times, and concentrations of the precursors affected the crystallinities, grain sizes, film thicknesses, surface roughness, and shapes of the obtained films. These films could be used in solar cell applications with high power conversion efficiency due to the appropriate band gap value and high absorption coefficient value.
APA, Harvard, Vancouver, ISO, and other styles
9

Gent, Enno, Dereje H. Taffa, and Michael Wark. "Multi-Layered Mesoporous TiO2 Thin Films: Photoelectrodes with Improved Activity and Stability." Coatings 9, no. 10 (September 28, 2019): 625. http://dx.doi.org/10.3390/coatings9100625.

Full text
Abstract:
This work aims at the identification of porous titanium dioxide thin film (photo)electrodes that represent suitable host structures for a subsequent electrodeposition of plasmonic nanoparticles. Sufficient UV absorption and electrical conductivity were assured by adjusting film thickness and TiO 2 crystallinity. Films with up to 10 layers were prepared by an evaporation-induced self-assembly (EISA) method and layer-by-layer deposition. Activities were tested towards the photoelectrochemical oxidation of water under UV illumination. Enhanced activities with each additional layer were observed and explained with increased amounts of immobilized TiO 2 and access to more active sites as a combined effect of increased surface area, better crystallinity and improved transport properties. Furthermore, films display good electrochemical and mechanical stability, which was related to the controlled intermediate thermal annealing steps, making these materials a promising candidate for future electrochemical depositions of plasmonic noble metal nanoparticles that has been further demonstrated by incorporation of gold.
APA, Harvard, Vancouver, ISO, and other styles
10

Ali, N., M. A. Iqbal, S. T. Hussain, M. Waris, and S. A. Munair. "Optoelectronic Properties of Cadmium Sulfide Thin Films Deposited by Thermal Evaporation Technique." Key Engineering Materials 510-511 (May 2012): 177–85. http://dx.doi.org/10.4028/www.scientific.net/kem.510-511.177.

Full text
Abstract:
The substrate temperature in depositions of thin films plays a vital role in the characteristics of deposited films. We studied few characteristics of cadmium sulphide thin film deposited at different temperature (150°C-300°C) on corning 7059 glass substrate. We measured transmittance, absorbance, band gap and reflectance via UV spectroscopy. It was found that the transmittance for 300nm to 1100nm was greater than 80%. The resistivity and mobility was calculated by Vander Pauw method which were 10-80 cm and 2-60 cm2V-1S-1 respectively. The thermoelectric properties of the film were measured by hot and cold probe method which shows the N-type nature of the film.
APA, Harvard, Vancouver, ISO, and other styles
11

Fan, Zenghui, Ao Shen, Yong Xia, and Chengyuan Dong. "Amorphous InGaZnO Thin-Film Transistors with Double-Stacked Channel Layers for Ultraviolet Light Detection." Micromachines 13, no. 12 (November 28, 2022): 2099. http://dx.doi.org/10.3390/mi13122099.

Full text
Abstract:
Amorphous InGaZnO thin film transistors (a-IGZO TFTs) with double-stacked channel layers (DSCL) were quite fit for ultraviolet (UV) light detection, where the best DSCL was prepared by the depositions of oxygen-rich (OR) IGZO followed by the oxygen-deficient (OD) IGZO films. We investigated the influences of oxygen partial pressure (PO) for DSCL-TFTs on their sensing abilities by experiments as well as Technology Computer Aided Design (TCAD) simulations. With the increase in PO values for the DSCL depositions, the sensing parameters, including photogenerated current (Iphoto), sensitivity (S), responsivity (R), and detectivity (D*) of the corresponding TFTs, apparently degraded. Compared with PO variations for the OR-IGZO films, those for the OD-IGZO depositions more strongly influenced the sensing performances of the DSCL-TFT UV light detectors. The TCAD simulations showed that the variations of the electron concentrations (or oxygen vacancy (VO) density) with PO values under UV light illuminations might account for these experimental results. Finally, some design guidelines for DSCL-TFT UV light detectors were proposed, which might benefit the potential applications of these novel semiconductor devices.
APA, Harvard, Vancouver, ISO, and other styles
12

Tu, Rong, Jin Huang, Song Zhang, and Lian Meng Zhang. "Epitaxial Growth of Copper Film by MOCVD." Key Engineering Materials 680 (February 2016): 507–10. http://dx.doi.org/10.4028/www.scientific.net/kem.680.507.

Full text
Abstract:
Copper thin films were deposited on single crystal sapphire substrate via metal-organic MOCVD using Cu (acac)2 as precursor. X-ray diffraction (XRD) and Scanning Electronic Microscope (SEM) were employed for studying preferred orientation and microstructure. Atomic Force Microscope was utilized in order to characterize roughness of copper thin layer. By calculation of the Gibbs free energy, the reactions have been deeply understood. Depositions were carried out at various substrate temperatures in the rage 473K to 673K. It has been revealed that temperature determined the orientation and microstructure of copper films. At 673K, copper films have exhibited preferred orientation, smooth surface and connected grains, which proved that this copper thin film can act as precursor. Based on the study of epitaxial growth of copper films, a schematic diagram of epitaxial growth relationship is suggested for the step by step depositions processes.
APA, Harvard, Vancouver, ISO, and other styles
13

Tugui, Catalin Andrei, Petrică Vizureanu, Carmen Nejneru, Manuela Cristina Perju, Dragoş Cristian Achitei, and Mihai Axinte. "Study of Various Thin Films Obtained by Several Deposition Methods ." Advanced Materials Research 1036 (October 2014): 201–6. http://dx.doi.org/10.4028/www.scientific.net/amr.1036.201.

Full text
Abstract:
Properties of metallic materials can be improved with special materials depositions. Materials deposited on base materials are qualitatively better than the base materials, with a better hydroabrasive wear and corrosion resistance, also with a chemical attack or mechanical stress improved resistance.The thin layer deposition represents depositions (coatings) with hardening, lubricating, or decorative function, having a thickness of less than 10 micrometers.Such special materials are used in cutting-edge technology, such as nuclear turbines and turbine airplanes, space crafts or submarines, which must withstand high temperature, erosion and different chemical attacks.Along with traditional technologies for obtaining coatings, we assist in the development, improvement and expansion of deposition modern techniques, which ensures high purity and adhesion through a wide variety of processes. These thin layer coated materials should not be very expensive from the economic point of view. That is why, scientists are looking to find out the easiest deposition methods and also with great technical efficiency.In this paper we presented several methods of thin film deposition. We also highlighted some of the advantages and disadvantages of some deposition methods.
APA, Harvard, Vancouver, ISO, and other styles
14

Mallamaci, Michael P., James Bentley, and C. Barry Carter. "Microanalysis of silicate glass films grown on α-Al2O3 by pulsed-laser deposition." Proceedings, annual meeting, Electron Microscopy Society of America 51 (August 1, 1993): 438–39. http://dx.doi.org/10.1017/s0424820100148022.

Full text
Abstract:
Glass-oxide interfaces play important roles in developing the properties of liquid-phase sintered ceramics and glass-ceramic materials. Deposition of glasses in thin-film form on oxide substrates is a potential way to determine the properties of such interfaces directly. Pulsed-laser deposition (PLD) has been successful in growing stoichiometric thin films of multicomponent oxides. Since traditional glasses are multicomponent oxides, there is the potential for PLD to provide a unique method for growing amorphous coatings on ceramics with precise control of the glass composition. Deposition of an anorthite-based (CaAl2Si2O8) glass on single-crystal α-Al2O3 was chosen as a model system to explore the feasibility of PLD for growing glass layers, since anorthite-based glass films are commonly found in the grain boundaries and triple junctions of liquid-phase sintered α-Al2O3 ceramics.Single-crystal (0001) α-Al2O3 substrates in pre-thinned form were used for film depositions. Prethinned substrates were prepared by polishing the side intended for deposition, then dimpling and polishing the opposite side, and finally ion-milling to perforation.
APA, Harvard, Vancouver, ISO, and other styles
15

Pessoa, R. S., F. P. Pereira, G. E. Testoni, W. Chiappim, H. S. Maciel, and L. V. Santos. "Effect of substrate type on structure of TiO2 thin film deposited by atomic layer deposition technique." Journal of Integrated Circuits and Systems 10, no. 1 (December 28, 2015): 38–42. http://dx.doi.org/10.29292/jics.v10i1.403.

Full text
Abstract:
This paper discusses about the effect of substrate type on structure of titanium dioxide thin film deposited by atomic layer deposition technique using titanium tetrachloride and deionized water as precursors. The substrates investigated are silicon (100), cover glass and titanium, and the depositions were performed at temperatures ranging from 300ºC to 450 ºC. We observed through Rutherford backscattering spectrometry that the TiO2 thin films grown on both substrates are stoichiometric. Grazing incidence x-ray diffraction showed that rutile phase could be obtained in almost pure phase at temperature of 450 ºC, however only for glass and titanium substrates. For the case of silicon (100) substrate, the anatase phase was preponderant for process temperatures investigated.
APA, Harvard, Vancouver, ISO, and other styles
16

Sujarwata, Sujarwata, Fianti Fianti, Langlang Handayani, Aji Purwinarko, and Susilo Susilo. "OFET Preparation by Lithography and Thin Film Depositions Process." TELKOMNIKA (Telecommunication Computing Electronics and Control) 16, no. 1 (February 1, 2018): 77. http://dx.doi.org/10.12928/telkomnika.v16i1.6544.

Full text
APA, Harvard, Vancouver, ISO, and other styles
17

Jones, J. G., R. R. Biggers, J. D. Busbee, D. V. Dempsey, and G. Kozlowski. "Image processing plume fluence for superconducting thin-film depositions." Engineering Applications of Artificial Intelligence 13, no. 5 (October 2000): 597–601. http://dx.doi.org/10.1016/s0952-1976(00)00039-7.

Full text
APA, Harvard, Vancouver, ISO, and other styles
18

Kim, Kwang Pyo, Wan Soo Song, Min Kyu Park, and Sang Jeen Hong. "Surface Analysis of Amorphous Carbon Thin Film for Etch Hard Mask." Journal of Nanoscience and Nanotechnology 21, no. 3 (March 1, 2021): 2032–38. http://dx.doi.org/10.1166/jnn.2021.18919.

Full text
Abstract:
When the aspect ratio of a high aspect ratio (HAR) etching process is greatly increased, an amorphous carbon layer (ACL) hard mask is required for dynamic random-access memory (DRAM). To improve the durability of an etch hard mask, an understanding of the plasma deposition mechanisms and the deposited film properties associated with the plasma conditions and atomic structure, respectively, is required. We performed a series of plasma depositions, material characterizations and dry-etching to investigate the effect of the deposition process condition on the surface characteristics of an ACL film to be used as a dry etch hard mask in an HAR etch process. We found that a lower chamber pressure at a higher temperature for the plasma deposition process yielded higher film hardness, and this infers that higher plasma ion energy in lower pressure regions helps to remove hydrogen atoms from the surface by increased ion bombardment. It was postulated that a higher substrate temperature gears the bake-out of hydrogen or hydroxide contaminants. From the results of inductively coupled plasma-reactive ion etching of the deposited ACL film, we observed that the etch selectivity over the silicon dioxide film was improved as C═C sp2 and C–C sp3 bonds increased.
APA, Harvard, Vancouver, ISO, and other styles
19

Dulmaa, Altangerel, and Diederik Depla. "Influence of Impurities on the Front Velocity of Sputter Deposited Al/CuO Thermite Multilayers." Materials 14, no. 23 (November 26, 2021): 7224. http://dx.doi.org/10.3390/ma14237224.

Full text
Abstract:
CuO and Al thin films were successively deposited using direct current (reactive) magnetron sputter deposition. A multilayer of five bilayers was deposited on glass, which can be ignited by heating a Ti resistive thin film. The velocity of the reaction front which propagates along the multilayer was optically determined using a high-speed camera. During the deposition of the aluminum layers, air was intentionally leaked into the vacuum chamber to introduce impurities in the film. Depositions at different impurity/metal flux ratios were performed. The front velocity reaches a value of approximately 20 m/s at low flux ratios but drops to approximately 7 m/s at flux ratios between 0.6 and 1. The drop is rather abrupt as the front velocity stays constant above flux ratios larger than 1. This behavior is explained based on the hindrance of the oxygen transport from the oxidizer (CuO) to the fuel (Al).
APA, Harvard, Vancouver, ISO, and other styles
20

Laszlo, Edwin Alexandru, Doina Crăciun, Gabriela Dorcioman, Gabriel Crăciun, Victor Geantă, Ionelia Voiculescu, Daniel Cristea, and Valentin Crăciun. "Characteristics of Thin High Entropy Alloy Films Grown by Pulsed Laser Deposition." Coatings 12, no. 8 (August 18, 2022): 1211. http://dx.doi.org/10.3390/coatings12081211.

Full text
Abstract:
Starting from solid-solutions (SS) of AlCoCrFeNix high-entropy alloys (HEAs) that have been produced with high purity constituent elements by vacuum arc remelting (VAR) method varying the nickel molar ratio x from 0.2 to 2.0, we investigated the synthesis of protective thin films of HEAs and high-entropy nitrides (HENs) with the aid of the pulsed laser deposition (PLD) system. The structure of all ten available bulk targets have been examined by means of X-Ray Diffraction (XRD), as well as their elemental composition by means of energy dispersion X-ray spectroscopy (EDS). Three targets with nickel molar composition x = 0.4, 1.2 and 2.0 corresponding to BCC, mixed BCC and FCC, and finally FCC structures were used for thin film depositions using a KrF excimer laser. The depositions were performed in residual low vacuum (10−7 mbar) and under N2 (10−4 mbar) at room temperature (RT~25 °C) on Si and glass substrates. The deposited films’ structure was investigated using grazing incidence XRD, their surface morphology, thickness and elemental composition by scanning electron microscopy (SEM), EDS and X-ray photoelectron spectroscopy (XPS), respectively. A homemade four-point probe (4PP) set-up was applied to determine layers electrical resistance. Besides, a Nanoindentation (NI) was employed to test films’ mechanical properties. XRD results showed that all deposited films, regardless of the initial structure of targets, were a mixture of FCC and BCC structures. Additionally, the quantitative and qualitative EDS and XPS results showed that the elemental composition of films was rather close to that of the targets. The depositions under an N2 atmosphere resulted in the inclusion of several percentage nitrogen atoms in a metallic nitride type compound into films, which may explain their higher electrical resistivity. The Young’s modulus, nanohardness and friction coefficient values showed that the deposited films present good mechanical properties and could be used as protective coatings to prevent damage in harsh environments.
APA, Harvard, Vancouver, ISO, and other styles
21

Schurink, Bart, Wesley T. E. van den Beld, Roald M. Tiggelaar, Robbert W. E. van de Kruijs, and Fred Bijkerk. "Synthesis and Characterization of Boron Thin Films Using Chemical and Physical Vapor Depositions." Coatings 12, no. 5 (May 16, 2022): 685. http://dx.doi.org/10.3390/coatings12050685.

Full text
Abstract:
Boron as thin film material is of relevance for use in modern micro- and nano-fabrication technology. In this research boron thin films are realized by a number of physical and chemical deposition methods, including magnetron sputtering, electron-beam evaporation, plasma enhanced chemical vapor deposition (CVD), thermal/non-plasma CVD, remote plasma CVD and atmospheric pressure CVD. Various physical, mechanical and chemical characteristics of these boron thin films are investigated, i.e., deposition rate, uniformity, roughness, stress, composition, defectivity and chemical resistance. Boron films realized by plasma enhanced chemical vapor deposition (PECVD) are found to be inert for conventional wet chemical etchants and have the lowest amount of defects, which makes this the best candidate to be integrated into the micro-fabrication processes. By varying the deposition parameters in the PECVD process, the influences of plasma power, pressure and precursor inflow on the deposition rate and intrinsic stress are further explored. Utilization of PECVD boron films as hard mask for wet etching is demonstrated by means of patterning followed by selective structuring of the silicon substrate, which shows that PECVD boron thin films can be successfully applied for micro-fabrication.
APA, Harvard, Vancouver, ISO, and other styles
22

Ramesh, P. Bala, P. Venkatesh, and A. Abdul Jabbar. "Influence of Dithiocarbamate On Metal Complex and Thin Film Depositions." International Journal of Innovative Research in Science, Engineering and Technology 03, no. 08 (August 15, 2014): 15301–9. http://dx.doi.org/10.15680/ijirset.2014.0308033.

Full text
APA, Harvard, Vancouver, ISO, and other styles
23

Kim, Jung H., Jeon Kim, Nuri Oh, Young-Ho Kim, Chang Kyung Kim, Chong Seung Yoon, and Sungho Jin. "Monolayer CoPt magnetic nanoparticle array using multiple thin film depositions." Applied Physics Letters 90, no. 2 (January 8, 2007): 023117. http://dx.doi.org/10.1063/1.2428409.

Full text
APA, Harvard, Vancouver, ISO, and other styles
24

Usui, H., I. Yamada, and T. Takagi. "Anthracene and polyethylene thin film depositions by ionized cluster beam." Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 4, no. 1 (January 1986): 52–60. http://dx.doi.org/10.1116/1.573497.

Full text
APA, Harvard, Vancouver, ISO, and other styles
25

Paosawatyanyong, Boonchoat, K. Honglertsakul, and D. K. Reinhard. "DLC-Film Schottky Barrier Diodes." Solid State Phenomena 107 (October 2005): 75–80. http://dx.doi.org/10.4028/www.scientific.net/ssp.107.75.

Full text
Abstract:
A microwave plasma reactor (MPR) is constructed as a facility for the plasma assisted chemical vapor deposition (PACVD) process. The reactor is a mode-adjustable resonance cavity of cylindrical shape. A 2.45 GHz microwave generator is used to ignite the plasma inside the lengthadjustable cavity. The diamond-like carbon (DLC) thin film depositions onto the silicon substrates are carried out using H2–CH4 discharge. The Schottky barrier diodes (SBD) are then formed on to the DLC films. The responses of DLC-SBD to DC and time varying signals have been studied as a function of frequency. The frequency dependent response results are compared to the computer models, which includes as input parameters the bulk series resistance, the capacitance associated with the bulk material between the space-charge layer and the ohmic contact, the space-charge layer capacitance, and the diode dynamic resistance.
APA, Harvard, Vancouver, ISO, and other styles
26

Wani, Waseem Ahmad, Nilofar Naaz, B. Harihara Venkataraman, Souvik Kundu, and Kannan Ramaswamy. "Significantly reduced leakage current density in Mn-doped BiFeO3 thin films deposited using spin coating technique." Journal of Physics: Conference Series 2070, no. 1 (November 1, 2021): 012088. http://dx.doi.org/10.1088/1742-6596/2070/1/012088.

Full text
Abstract:
Abstract BiFeO3 (BFO) and Mn-doped BFO thin films are prepared on indium tin oxide/glass substrates using wet chemical deposition technique. The role of Mn defects (3% to 10%) on the leakage current density and other physical properties of BFO thin film devices is investigated. The X-ray diffraction patterns confirm the single-phase formation of rhombohedrally distorted BFO thin films. The scanning electron microscopy images approve uniform and crack-free film depositions, which is of great importance to the practical device applications of such materials. The oxidation states are determined by X-ray photoelectron spectroscopy (XPS). These XPS results reveal the presence of multiple valence states of Fe ions (Fe2+, Fe3+) and Mn (Mn3+, Mn4+) ions, which play a decisive role in determining the leakage current density. However, the Mn-doping at the Fe site in BFO reduces oxygen vacancies and Fe2+ states, hence suppressing the leakage current density. The leakage current density is reduced by three orders of magnitude (10−4 – 10−7) A/cm2, upon Mn-doping as clearly demonstrated by J-V characteristics. These results indicate that the primary contributors to the conduction in BFO based thin films are oxygen vacancies and the Fe2+ states in these devices.
APA, Harvard, Vancouver, ISO, and other styles
27

Ozen, Istem, and Mehmet Ali Gülgün. "Residual Stress Relaxation and Microstructure in ZnO Thin Films." Advances in Science and Technology 45 (October 2006): 1316–21. http://dx.doi.org/10.4028/www.scientific.net/ast.45.1316.

Full text
Abstract:
Stability under normal environmental conditions over a long period of time is crucial for sustainable thin-film device performance. Pure ZnO films with thicknesses in the 140 - 450 nm range were deposited on amorphous glass microscope slides and (100)-oriented single crystal silicon wafers by radio frequency magnetron sputtering. The depositions were performed at a starting temperature of 200 oC. ZnO films had a columnar microstructure strongly textured along the <0002> direction. XRD peak-shift analysis revealed that the films were under residual, compressive, in-plane stress of -5.46 GPa for the glass substrate and -6.69 GPa for the Si substrate. These residual stresses could be completely relaxed by thermal annealing in air. When left under normal environmental condition over an extended period of time the films failed under buckling leading to extensive cracking of the films. The XRD and SEM results indicated different mechanisms of stress relaxation that were favored in the ZnO thin films depending on the energy provided. Although thermal annealing eliminated residual stresses, serious micro-structural damage upon annealing was observed. Thermal annealing also led to preferential growth of some ZnO crystals in the films. This kind of behavior is believed to be indicative of stress-induced directional diffusion of ZnO. It appears that for the extended stability of the films, the stresses have to be eliminated during deposition.
APA, Harvard, Vancouver, ISO, and other styles
28

Mardare, Cezarina C., Pedro B. Tavares, Andréi I. Mardare, and Raluca Savu. "Synthesis of BiFeO3 Ceramic Targets and Thin Film Deposition by Laser Ablation." Materials Science Forum 514-516 (May 2006): 328–32. http://dx.doi.org/10.4028/www.scientific.net/msf.514-516.328.

Full text
Abstract:
A dense ceramic target of BiFeO3 was synthesized by the urea combustion method. X-ray diffraction indicates that this target is composed of a mixture of phases, the main one is BiFeO3, but Bi46Fe2O72 and Bi2Fe4O9 are also present in small amounts. The BiFeO3 target was used for depositing thin films on Pt/Ti/SiO2/Si substrates by the laser ablation technique. The depositions were made in oxygen atmosphere at pressures in the range between 5x10-3 and 2x10-2mbar, using a KrF laser. The substrate temperatures were 450 or 500°C and the laser energy, the frequency and the distance between the target and the substrate were kept constant at 125mJ, 10Hz and 4cm, respectively. After a deposition time of 30minutes the thickness of the films was approximately 400nm. Some of the films were heat-treated in situ, in 100mbar O2 for 30minutes, at the same temperatures used for deposition. X-ray diffraction results show the BiFeO3 phase, as well as some Bi46Fe2O72 and Bi2Fe4O9. The films were crystallized without any preferential orientation, but the ones made at 2x10-2mbar and 450°C were partially amorphous. For measuring the ferroelectric hysteresis loops, either Al top electrodes were deposited by thermal evaporation or Pt, by sputtering. The distorted shapes of the hysteresis loops obtained indicated that the films exhibit weak ferroelectric properties and high leakage current values.
APA, Harvard, Vancouver, ISO, and other styles
29

Rou, Shang Hsien. "Microstructure of polycrystalline near epitaxial (100) and (111) pyrochlore on A (100) MgO Substrate." Proceedings, annual meeting, Electron Microscopy Society of America 48, no. 4 (August 1990): 1062–63. http://dx.doi.org/10.1017/s0424820100178446.

Full text
Abstract:
New and interesting physical phenomena are being observed via thin film depositions using a variety of processing techniques in different material systems. The present study describes Pb-Zr-Ti-O pyrochlore thin films which were deposited onto (100) MgO substrates using an ion beam sputtering technique. These films are of interest because of their unique microstructure which may provide valuable information in better understanding the epitaxial growth of thin films. Characterization were performed using conventional transmission electron microscopy (TEM) and high resolution transmission electron microscopy (HRTEM). Special TEM sample preparation procedures have been developed, which will be reported elsewhere.The as-deposited pyrochlore thin film is near epitaxial and is oriented with both (100) and (111) parallel to the (100) of the MgO substrate. Figure 1(a) shows the selected area diffraction pattern (SADP) of the pyrochlore thin film taken parallel to the [100] zone axis of the substrate.
APA, Harvard, Vancouver, ISO, and other styles
30

da Cunha, Tairan, Noureddine Adjeroud, Jérôme Guillot, Benoit Duez, Damien Lenoble, and Didier Arl. "On the interplay between a novel iron and iron-carbide atomic layer deposition process, the carbon nanotube growth, and the metal–carbon nanotube coating properties on silica substrates." Journal of Vacuum Science & Technology A 40, no. 3 (May 2022): 033415. http://dx.doi.org/10.1116/6.0001806.

Full text
Abstract:
The fabrication of iron and iron carbide nanoparticles (NPs) for catalytic reactions such as the growth of carbon nanotubes (CNTs) compete with the challenge of covering a wide range of substrates with perfect control of the NP reactivity. We present in this work a novel atomic layer deposition (ALD) process to grow Fe/Fe3C thin films over silica flat substrates. The depositions were carried out exposing the surface through various number of ALD cycles, resulting in Fe-based films with thicknesses ranging from 4 nm to almost 40 nm. After a thermal treatment, the film dewetts into nanoparticles, where the efficiency to grow CNTs will depend on the average size distribution of the nanocatalyst. X-ray diffraction and x-ray photoelectron spectroscopy were used to track the elemental, phase, and shape (film to particles) transformation in order to identify the key features of the nanocatalyst, thereby controlling the CNT nucleation and growth. Thin film thickness of around 5 nm promotes the growth of a dense CNT forest. Furthermore, the metal–CNT films reveal optical properties that are totally tailored by the initial number of ALD cycles.
APA, Harvard, Vancouver, ISO, and other styles
31

Mandić, Vilko, Arijeta Bafti, Luka Pavić, Ivana Panžić, Stanislav Kurajica, Jakov-Stjepan Pavelić, Zhen Shi, Katarina Mužina, and Ivana Katarina Ivković. "Humidity Sensing Ceria Thin-Films." Nanomaterials 12, no. 3 (February 2, 2022): 521. http://dx.doi.org/10.3390/nano12030521.

Full text
Abstract:
Lowering the constitutive domains of semiconducting oxides to the nano-range has recently opened up the possibility of added benefit in the research area of sensing materials, in terms both of greater specific surface area and pore volume. Among such nanomaterials, ceria has attracted much attention; therefore, we chemically derived homogeneous ceria nanoparticle slurries. One set of samples was tape-casted onto a conducting glass substrate to form thin-films of various thicknesses, thereby avoiding demanding reaction conditions typical of physical depositions, while the other was pressed into pellets. Structural and microstructural features, along with electrical properties and derivative humidity-sensing performance of ceria thin-films and powders pressed into pellets, were studied in detail. Particular attention was given to solid-state impedance spectroscopy (SS-IS), under controlled relative humidity (RH) from 30%–85%, in a wide temperature and frequency range. Moreover, for the thin-film setup, measurements were performed in surface-mode and cross-section-mode. From the results, we extrapolated the influence of composition on relative humidity, the role of configuration and thin-film thickness on electrical properties, and derivative humidity-sensing performance. The structural analysis and depth profiling both point to monophasic crystalline ceria. Microstructure analysis reveals slightly agglomerated spherical particles and thin-films with low surface roughness. Under controlled humidity, the shape of the conductivity spectrum stays the same along with an increase in RH, and a notable shift to higher conductivity values. The relaxation is slow, as the thickness of the pellet slows the return of conductivity values. The increase in humidity has a positive effect on the overall DC conductivity, similar to the temperature effect for semiconducting behavior. As for the surface measurement setup, the thin-film thickness impacts the shape of the spectra and electrical processes. The surface measurement setup turns out to be more sensitive to relative humidity changes, emphasized with higher RH, along with an increase in thin-film thickness. The moisture directly affects the conductivity spectra in the dispersion part, i.e., on the localized short-range charge carriers. Moisture sensitivity is a reversible process for thin-film samples, in contrast to pellet form samples.
APA, Harvard, Vancouver, ISO, and other styles
32

UCHIYAMA, K., A. KASAMATSU, Y. OTANI, and T. SHIOSAKI. "DEVELOPMENT OF PLZT THIN FILM DEPOSITIONS FOR OPTO-NANO ELECTRIC APPLICATIONS." Integrated Ferroelectrics 84, no. 1 (November 2006): 129–35. http://dx.doi.org/10.1080/10584580601085453.

Full text
APA, Harvard, Vancouver, ISO, and other styles
33

Takeda, Yasuhiko, Tomoyoshi Motohiro, Tatsumi Hioki, Hiroshi Niikura, and Shunsuke Niisaka. "Thin Film Retardation Plates of Silica Glass Fabricated by Oblique Depositions." Japanese Journal of Applied Physics 37, S1 (January 1, 1998): 84. http://dx.doi.org/10.7567/jjaps.37s1.84.

Full text
APA, Harvard, Vancouver, ISO, and other styles
34

Toyoda, N., and I. Yamada. "Optical thin film formation by oxygen cluster ion beam assisted depositions." Applied Surface Science 226, no. 1-3 (March 2004): 231–36. http://dx.doi.org/10.1016/j.apsusc.2003.11.025.

Full text
APA, Harvard, Vancouver, ISO, and other styles
35

Abdelal, Aysegul, and Peter Mascher. "(Invited) Comparison of Compositional, Optical and Mechanical Properties of Sicn Thin Films Prepared By Ecr-PECVD with Different Hydrocarbon Precursors." ECS Meeting Abstracts MA2022-02, no. 18 (October 9, 2022): 874. http://dx.doi.org/10.1149/ma2022-0218874mtgabs.

Full text
Abstract:
Silicon carbon nitride (SiCN) ternary compounds present remarkable mechanical strength, bandgap tunability, optical responsivity in the UV region, and dielectric performance in microelectronics due to the combined features of silicon nitride (SiN), silicon carbide (SiC), and carbonitride (CN) [1]. The SiCN compounds can be formed using fabrication methods such as physical vapor deposition (PVD), chemical vapor deposition (CVD), and chemical synthesis. Successful SiCN thin films fabricated with different techniques and their characteristics have been reported extensively in the literature; however, the influence of hydrocarbon gas precursors has not drawn the same amount of attention for SiCN. Chemical, physical, and mechanical properties of thin films are determined by the growth parameters and the choice of sources used, like the organic single-molecule (methylsilazanes) or highly pure individual gas precursors [2,3]. The chemical vapor deposition systems mainly affect the energy of bombarding ions. Plasma-enhanced CVD has been commonly used for thin-film depositions since it provides low deposition temperature, high purity, good step coverage, and easy control of reaction parameters. Our work focuses on the electron-cyclotron resonance plasma-enhanced chemical vapor deposition (ECR PECVD) method to fabricate SiCN thin films. This method differs from other PECVD methods because it can generate a dense, highly ionized plasma (1011 ions/cm3) and ion impingement energies on the substrate as low as 20 eV [4]. A combination of argon diluted silane (SiH4) and molecular nitrogen (N2) are utilized. For carbon incorporation, we explored the influence of methane (CH4), acetylene (C2H2), and ethane (C2H6) hydrocarbon gas precursors on SiCN thin film properties. The stoichiometry, density of the thin film, optical constants, and the bonding structure of SiCN thin films as a function of hydrocarbon carbon flow rates are presented. Due to the hydrogen-containing precursors used, the silicon carbonitride films deposited by CVD methods contain a significant amount of hydrogen (H), lowest for C2H2 and highest for C2H6. Nearly stoichiometric silicon nitride and silicon carbide thin films were also prepared to interpret the measurements further. From Rutherford backscattering spectrometry (RBS) and elastic recoil detection (ERD) analysis, quantitative elemental composition distributions including H were found for films deposited with both carbon sources. For further investigation of the bonding structure of SiCN, Fourier Transform Infrared (FTIR) Spectroscopy was performed. Furthermore, we studied the hardness and Young’s modulus by nanoindentation, and optical constants were measured by variable angle spectroscopic ellipsometry (VASE). [1] C.W. Chen, C.C. Huang, Y.Y. Lin, L.C. Chen, K.H. Chen, W.F. Su, Optical prop- erties and photoconductivity of amorphous silicon carbon nitride thin film and its application for UV detection, Diamond Relat. Mater. 14 (3-7) (2005) 1010–1013. [2] Schwarz-Selinger, T., Von Keudell, A., & Jacob, W. (1999). Plasma chemical vapor deposition of hydrocarbon films: The influence of hydrocarbon source gas on the film properties. Journal of Applied Physics, 86(7), 3988-3996. [3] V.I. Ivashchenko, A.O. Kozak, O.K. Porada, L.A. Ivashchenko, O.K. Sinelnichenko, O.S. Lytvyn, T.V. Tomila, V.J. Malakhov, Characterization of SiCN thin films: experimental and theoretical investigations, Thin Solid Films 569 (2014) 57–63. [4] M. G. Boudreau, "SiOxNy Waveguides Deposited by ECR-PECVD", M.Eng. thesis, McMaster University, 1993.
APA, Harvard, Vancouver, ISO, and other styles
36

Koybasi, Ozhan, Ørnulf Nordseth, Trinh Tran, Marco Povoli, Mauro Rajteri, Carlo Pepe, Eivind Bardalen, et al. "High Performance Predictable Quantum Efficient Detector Based on Induced-Junction Photodiodes Passivated with SiO2/SiNx." Sensors 21, no. 23 (November 24, 2021): 7807. http://dx.doi.org/10.3390/s21237807.

Full text
Abstract:
We performed a systematic study involving simulation and experimental techniques to develop induced-junction silicon photodetectors passivated with thermally grown SiO2 and plasma-enhanced chemical vapor deposited (PECVD) SiNx thin films that show a record high quantum efficiency. We investigated PECVD SiNx passivation and optimized the film deposition conditions to minimize the recombination losses at the silicon–dielectric interface as well as optical losses. Depositions with varied process parameters were carried out on test samples, followed by measurements of minority carrier lifetime, fixed charge density, and optical absorbance and reflectance. Subsequently, the surface recombination velocity, which is the limiting factor for internal quantum deficiency (IQD), was obtained for different film depositions via 2D simulations where the measured effective lifetime, fixed charge density, and substrate parameters were used as input. The quantum deficiency of induced-junction photodiodes that would be fabricated with a surface passivation of given characteristics was then estimated using improved 3D simulation models. A batch of induced-junction photodiodes was fabricated based on the passivation optimizations performed on test samples and predictions of simulations. Photodiodes passivated with PECVD SiNx film as well as with a stack of thermally grown SiO2 and PECVD SiNx films were fabricated. The photodiodes were assembled as light-trap detector with 7-reflections and their efficiency was tested with respect to a reference Predictable Quantum Efficient Detector (PQED) of known external quantum deficiency. The preliminary measurement results show that PQEDs based on our improved photodiodes passivated with stack of SiO2/SiNx have negligible quantum deficiencies with IQDs down to 1 ppm within 30 ppm measurement uncertainty.
APA, Harvard, Vancouver, ISO, and other styles
37

Reyes-Verdugo, Laura A., C. D. Gutiérrez-Lazos, J. Santos-Cruz, A. Chávez-Chávez, and J. G. Quiñones-Galván. "Bi2Te3 Thin Films Deposited by the Combination of Bi and Te Plasmas in a PLD Process." Micromachines 14, no. 3 (February 28, 2023): 590. http://dx.doi.org/10.3390/mi14030590.

Full text
Abstract:
Bismuth telluride thin films were grown by pulsed laser deposition by implementing a novel method that combines both Te and Bi plasmas resulting from the laser ablation of individual Bi and Te targets. Furthermore, the mean kinetic ion energy and density of the plasmas, as estimated by TOF curves obtained from Langmuir probe measurements, were used as control parameters for the deposition process. The obtained thin films exhibit a metallic mirror-like appearance and present good adhesion to the substrate. Morphology of the thin films was observed by SEM, yielding smooth surfaces where particulates were also observed (splashing). Chemical composition analysis obtained by EDS showed that apparently the films have a Te-rich composition (ratio of Te/Bi of 3); however, Te excess arises from the splashing as revealed by the structural characterization (XRD and Raman spectroscopy). The XRD pattern indicated that depositions have the rhombohedral (D3d5 (R3¯m)) structure of Bi2Te3. Likewise, Raman spectra exhibited the presence of signals that correspond to Eg2, A1u2 and A1g2(LO) vibrational modes of the same rhombohedral phase of Bi2Te3. Additionally, oxidation states, analyzed by XPS, resulted in signals associated to Bi3+ and Te2- that correspond to the Bi2Te3 compound. Finally, surface topology and thickness profiles were obtained from AFM measurements, confirming a combination of a smooth surface with particulates on top of it and a film thickness of 400 nm.
APA, Harvard, Vancouver, ISO, and other styles
38

Kvashnin, Gennady, Boris Sorokin, Nikita Asafiev, Viacheslav Prokhorov, and Andrei Sotnikov. "Peculiarities of the Acoustic Wave Propagation in Diamond-Based Multilayer Piezoelectric Structures as “Me1/(Al,Sc)N/Me2/(100) Diamond/Me3” and “Me1/AlN/Me2/(100) Diamond/Me3” under Metal Thin-Film Deposition." Electronics 11, no. 2 (January 7, 2022): 176. http://dx.doi.org/10.3390/electronics11020176.

Full text
Abstract:
New theoretical and experimental results of microwave acoustic wave propagation in diamond-based multilayer piezoelectric structures (MPS) as “Me1/(Al,Sc)N/Me2/(100) diamond/Me3” and “Me1/AlN/Me2/(100) diamond/Me3” under three metal film depositions, including the change in the quality factor Q as a result of Me3 impact, were obtained. Further development of our earlier studies was motivated by the necessity of creating a sensor model based on the above fifth layered MPS and its in-depth study using the finite element method (FEM). Experimental results on the change in operational checkpoint frequencies and quality factors under the effect of film deposition are in satisfactory accordance with FEM data. The relatively small decrease in the quality factor of diamond-based high overtone bulk acoustic resonator (HBAR) under the metal layer effect observed in a wide microwave band could be qualified as an important result. Changes in operational resonant frequencies vs. film thickness were found to have sufficient distinctions. This fact can be quite explained in terms of the difference between acoustic impedances of diamond and deposited metal films.
APA, Harvard, Vancouver, ISO, and other styles
39

Li, T., and S. T. Hsu. "The development of MOCVD techniques for ferroelectric and dielectric thin film depositions." Le Journal de Physique IV 11, PR3 (August 2001): Pr3–1139—Pr3–1145. http://dx.doi.org/10.1051/jp4:20013143.

Full text
APA, Harvard, Vancouver, ISO, and other styles
40

Jones, J. G., R. R. Riggers, J. D. Busbee, and B. M. Iglenik. "Signal Processing Plume Fluence for Fuzzy Control of Superconducting Thin-Film Depositions." IFAC Proceedings Volumes 31, no. 22 (August 1998): 369–73. http://dx.doi.org/10.1016/s1474-6670(17)35970-0.

Full text
APA, Harvard, Vancouver, ISO, and other styles
41

Serikawa, T., M. Henmi, T. Yamaguchi, H. Oginuma, and K. Kondoh. "Depositions and microstructures of Mg–Si thin film by ion beam sputtering." Surface and Coatings Technology 200, no. 14-15 (April 2006): 4233–39. http://dx.doi.org/10.1016/j.surfcoat.2005.01.047.

Full text
APA, Harvard, Vancouver, ISO, and other styles
42

Chuang, S. F., T. S. Kuan, and M. Pomerantz. "High-resolution imaging of ordered structure in thin polyimide films." Proceedings, annual meeting, Electron Microscopy Society of America 49 (August 1991): 1052–53. http://dx.doi.org/10.1017/s0424820100089573.

Full text
Abstract:
Polyimides are excellent insulating materials for use in microelectronics because of their high thermal stability, low dielectric constant, good mechanical strength, and resistance to moisture. The molecule main chain of polyimides consists of a rigid, planar structure of aromatic and/or heterocyclic rings. These polymers are usually highly disordered, but local crystallization is feasible between these regular and strongly interacting planar ring groups. In principle, it is possible to synthesize polyimides in crystalline form, with the exception of crosslinked materials, or those with long and bulky side groups. Spin-on technique is most often used to apply polyimide films onto substrates in semiconductor industry. This technique, however, is not suitable for preparation of smooth films with thickness less than a few hundred angstrons. Recently, monolayer-by-monolayer depositions of polyamic acids (precursors to polyimides) onto substrates using the Langmuir-Blodgett technique have been reported. This technique offers more precise control of film thickness and may allow highly ordered polymer films to be synthesized.
APA, Harvard, Vancouver, ISO, and other styles
43

Kinnunen, Sami, Manu Lahtinen, Kai Arstila, and Timo Sajavaara. "Hydrogen and Deuterium Incorporation in ZnO Films Grown by Atomic Layer Deposition." Coatings 11, no. 5 (May 3, 2021): 542. http://dx.doi.org/10.3390/coatings11050542.

Full text
Abstract:
Zinc oxide (ZnO) thin films were grown by atomic layer deposition using diethylzinc (DEZ) and water. In addition to depositions with normal water, heavy water (2H2O) was used in order to study the reaction mechanisms and the hydrogen incorporation at different deposition temperatures from 30 to 200 ∘C. The total hydrogen concentration in the films was found to increase as the deposition temperature decreased. When the deposition temperature decreased close to room temperature, the main source of impurity in hydrogen changed from 1H to 2H. A sufficiently long purging time changed the main hydrogen isotope incorporated in the film back to 1H. A multiple short pulse scheme was used to study the transient steric hindrance. In addition, the effect of the storage of the samples in ambient conditions was studied. During the storage, the deuterium concentration decreased while the hydrogen concentration increased an equal amount, indicating that there was an isotope exchange reaction with ambient H2 and/or H2O.
APA, Harvard, Vancouver, ISO, and other styles
44

Le Tulzo, Harold, Nathanaelle Schneider, and Frédérique Donsanti. "In Situ Microgravimetric Study of Ion Exchanges in the Ternary Cu-In-S System Prepared by Atomic Layer Deposition." Materials 13, no. 3 (February 1, 2020): 645. http://dx.doi.org/10.3390/ma13030645.

Full text
Abstract:
Reaction mechanisms during the growth of multinary compounds by atomic layer deposition can be complex, especially for sulfide materials. For instance, the deposition of copper indium disulfide (CuInS2) shows a non-direct correlation between the cycle ratio, the growth per cycle of each binary growth cycles, i.e., CuxS and In2S3, and the film composition. This evidences side reactions that compete with the direct Atomic Layer Deposition (ALD) growth reactions and makes the deposition of large films very challenging. To develop a robust upscalable recipe, it is essential to understand the chemical surface reactions. In this study, reaction mechanisms in the Cu-In-S ternary system were investigated in-situ by using a quartz crystal microbalance system to monitor mass variations. Pure binary indium sulfide (In2S3) and copper sulfide (CuxS) thin film depositions on Al2O3 substrate were first studied. Then, precursors were transported to react on CuxS and In2S3 substrates. In this paper, gas-phase ion exchanges are discussed based on the recorded mass variations. A cation exchange between the copper precursor and the In2S3 is highlighted, and a solution to reduce it by controlling the thickness deposited for each stack of binary materials during the CuInS2 deposition is finally proposed.
APA, Harvard, Vancouver, ISO, and other styles
45

Marton, M., M. Vojs, E. Zdravecká, M. Himmerlich, T. Haensel, S. Krischok, M. Kotlár, P. Michniak, M. Veselý, and R. Redhammer. "Raman Spectroscopy of Amorphous Carbon Prepared by Pulsed Arc Discharge in Various Gas Mixtures." Journal of Spectroscopy 2013 (2013): 1–6. http://dx.doi.org/10.1155/2013/467079.

Full text
Abstract:
To meet various application requirements, it is important to enable an improvement of a-C structure and properties, such as hardness, adhesion, and wear resistance. In this study, we used the Raman spectroscopy to investigate the a-C thin films structure dependence on the different deposition parameters. The effect of nitrogen, argon, and hydrogen gas flow rate was analyzed to determine the influence on the film properties. The change in the gas type, combination, and flow had a significant influence on the D and G bands of the a-C Raman spectra. The addition of N2into the chamber promoted the sp2creation, while with adding hydrogen the layer contained more sp3bonds. The depositions of a-C thin films were carried out in pulsed arc discharge vacuum installation. Micro-Raman measurements of the deposited materials were performed using an ISA Dilor-Jobin Yvon-Spex Labram confocal system with 632.8 nm radiation from a He-Ne laser using a back-scattering geometry.
APA, Harvard, Vancouver, ISO, and other styles
46

Monir, Shafiul, Giray Kartopu, Vincent Barrioz, Dan Lamb, Stuart J. C. Irvine, Xiaogang Yang, and Yuriy Vagapov. "Thin CdTe Layers Deposited by a Chamberless Inline Process using MOCVD, Simulation and Experiment." Applied Sciences 10, no. 5 (March 3, 2020): 1734. http://dx.doi.org/10.3390/app10051734.

Full text
Abstract:
The deposition of thin Cadmium Telluride (CdTe) layers was performed by a chamberless metalorganic chemical vapour deposition process, and trends in growth rates were compared with computational fluid dynamics numerical modelling. Dimethylcadmium and diisopropyltelluride were used as the reactants, released from a recently developed coating head orientated above the glass substrate (of area 15 × 15 cm2). Depositions were performed in static mode and dynamic mode (i.e., over a moving substrate). The deposited CdTe film weights were compared against the calculated theoretical value of the molar supply of the precursors, in order to estimate material utilisation. The numerical simulation gave insight into the effect that the exhaust’s restricted flow orifice configuration had on the deposition uniformity observed in the static experiments. It was shown that > 59% of material utilisation could be achieved under favourable deposition conditions. The activation energy determined from the Arrhenius plot of growth rate was ~ 60 kJ/mol and was in good agreement with previously reported CdTe growth using metalorganic chemical vapour deposition (MOCVD). Process requirements for using a chamberless environment for the inline deposition of compound semiconductor layers were presented.
APA, Harvard, Vancouver, ISO, and other styles
47

Jones, J. G., R. R. Biggers, N. C. Boss, J. D. Busbee, D. V. Dempsey, G. Kozłowski, D. V. Dempsey, and G. Kozłowski. "Image Processing Plume Fluence for Process Control of Pulsed-Laser Thin-Film Depositions." IFAC Proceedings Volumes 33, no. 28 (October 2000): 113–18. http://dx.doi.org/10.1016/s1474-6670(17)36819-2.

Full text
APA, Harvard, Vancouver, ISO, and other styles
48

Wajid, Abdul. "On the accuracy of the quartz-crystal microbalance (QCM) in thin-film depositions." Sensors and Actuators A: Physical 63, no. 1 (September 1997): 41–46. http://dx.doi.org/10.1016/s0924-4247(97)80427-x.

Full text
APA, Harvard, Vancouver, ISO, and other styles
49

Tong, X. L., D. S. Jiang, L. Liu, and H. Dai. "Comparison between GaN thin film grown by femtosecond and nanosecond pulsed laser depositions." Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures 26, no. 4 (2008): 1398. http://dx.doi.org/10.1116/1.2956631.

Full text
APA, Harvard, Vancouver, ISO, and other styles
50

Bardin, T. T., J. G. Pronko, and D. K. Kinell. "Thin Metal Film Adhesion Studies on GaAs." MRS Proceedings 77 (1986). http://dx.doi.org/10.1557/proc-77-731.

Full text
Abstract:
ABSTRACTComparative thin film adhesion studies were performed on GaAs substrates using Au or Au-Ni-Ge, metallization materials. The influence of parameters such as crystal orientation, substrate surface preparation, deposition vacuum conditions, thickness and composition of films, and post-evaporative treatment such as ion-beam mixing and thermal annealing, on film adhesion was considered. The quality of the adhesion bond was measured using Scotch tape tests and a Sebastian adhesion tester. Film interfaces were characterized using AES, XPS, and RBS techniques. The results indicate that the most important factors dominating the quality of adhesion were surface preparation and the deposition vacuum conditions. Films deposited under optimum conditions were found to adhere so well that the GaAs crystal would fracture before the film would pull free of the substrate. The influence of ion beam mixing on the quality of adhesion was tested and only in the cases of depositions under the less optimum conditions, where the adhesion was poor, could an improvement be made in the adhesive properties.
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography