Dissertations / Theses on the topic 'Test digitali'

To see the other types of publications on this topic, follow the link: Test digitali.

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 dissertations / theses for your research on the topic 'Test digitali.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse dissertations / theses on a wide variety of disciplines and organise your bibliography correctly.

1

Piva, Filippo. "Soluzioni digitali e analogiche per la garanzia di sicurezza in sottosistemi critici ferroviari." Master's thesis, Alma Mater Studiorum - Università di Bologna, 2019.

Find full text
Abstract:
Il trasporto ferroviario evolve verso scenari caratterizzati da convogli che viaggiano sempre più ravvicinati e a velocità crescente, il che richiede standard di sicurezza sempre più elevati. Rendere più sicuri treni che viaggiano a distanze ridotte significa poterli localizzare con precisione, cosa che oggi viene fatta anche con soluzioni che si basano sul principio della odometria. Con lo sguardo rivolto al futuro, Rete Ferroviaria Italiana e Arces stanno sviluppando un nuovo sistema di localizzazione odometrica. Questa tesi ha l’obiettivo di studiare una soluzione che assicuri al percorso dei dati del sottosistema di odometria, dal sensore all’elaborazione digitale, una Probability of Failure per Hour non inferiore a 10^-9. Per realizzarlo è stato necessario assicurare l’integrità di una sezione analogica rispetto ai guasti di tipo stuck-at. Sono state quindi studiate le dinamiche di guasto, calcolato il MTBF e infine sono stati pensati stimoli di test in ingresso con controllo delle uscite, pilotati da una sezione digitale. La difficoltà principale è stata rendere trasparenti gli stimoli di test alla logica di elaborazione odometrica, in modo da non compromettere i segnali vitali. Inoltre è stato necessario controllare l’assenza di anomalie nel sensore e nel cavo di trasmissione che lo collega alla sezione analogica, attraverso la misura di corrente assorbita dall’alimentazione. Per farlo è stato progettato un circuito per le misure di corrente ed è stata programmata la sezione digitale per digitalizzare e verificare le misure. Infine è stata redatta la documentazione formale con la descrizione delle scelte progettuali e dei collaudi effettuati in laboratorio, al fine di ottenere la certificazione di sicurezza SIL4, la più alta possibile, come previsto da RFI. L’architettura della sezione digitale in cui si è lavorato era mista, comprendente FPGA e MCU. L’innovazione del progetto risiede nel far eseguire quante più operazioni possibile alla logica programmabile.
APA, Harvard, Vancouver, ISO, and other styles
2

MASSETTI, GEMMA. "A DIGITAL BATTERY FOR UNILATERAL SPATIAL NEGLECT: HOW NEW TECHNOLOGIES CAN MAKE THE NEUROPSYCHOLOGICAL EVALUATION MORE ACCURATE AND SENSITIVE." Doctoral thesis, Università degli Studi di Milano-Bicocca, 2022. http://hdl.handle.net/10281/374741.

Full text
Abstract:
La negligenza spaziale unilaterale (NSU) è un disturbo neuropsicologico dovuto a eventi cerebrovascolari (CVA), tumori cerebrali e lesioni cerebrali acquisite. I pazienti con NSU falliscono nell’orientarsi verso, rispondere e riportare eventi sensoriali che si verificano nel lato dello spazio e del corpo controlaterale al lato della lesione (tipicamente, il lato sinistro in pazienti con danno cerebrale destro), e nell’esplorare queste porzioni di spazio. La valutazione della NSU si basa soprattutto sulla valutazione delle prestazioni dei pazienti a test carta-e-matita. Tuttavia, sono ora disponibili evidenze emergenti che le tecnologie che si basano sui computer possano migliorare le procedure diagnostiche. I test computerizzati possono offrire più sensibilità e flessibilità, registrando molte più informazioni (ad es., l’accuratezza e i tempi di reazione simultaneamente). Queste caratteristiche riducono le possibilità di errore umano e permettono misurazioni quantitative e continue nei singoli pazienti, includendo un monitoraggio individuale e sensibile dei cambiamenti prestazionali lungo il corso di ripetute valutazioni. L’obiettivo principale della presente tesi di dottorato era quello di validare preliminarmente dei test digitali appositamente creati, comparandoli a test carta-e-matita tradizionalmente usati nella pratica clinica per la diagnosi di NSU. Tutti questi test sono stati creati in un ambiente digitale facile da usare e basato sull’utilizzo di uno schermo touch e di una penna digitale, così da simulare il tradizionale atto di scrittura. All’interno di tre studi, i partecipanti sani e i pazienti con danno cerebrale destro, con e senza NSU, sono stati sottoposti sia ai testi digitali che ai test carta-e-matita. Le analisi dei dati hanno mostrato risultati promettenti, suggerendo che i test digitali potrebbero condurre a una valutazione neuropsicologica più sensibile e precisa, smascherando inoltre casi di neglect residuale. Infine, i risultati presenti incoraggiano la raccolta di dati normativi per poter adottare i test digitali nella pratica clinica.
Unilateral Spatial Neglect (USN) is a neuropsychological disorder due to cerebrovascular accidents (CVA), cerebral tumours and brain injuries. USN patients fail to orient towards, respond to and report sensory events occurring in the side of space and the body contralateral to the side of the lesion (typically the left side in the right-brain-damaged patients), and to explore these portions of space. The assessment of USN has relied mainly on evaluating patients’ performances at paper-and-pencil tests. Nevertheless, emerging evidence that computer-based technologies may improve the assessment procedure is now available. More sensitivity and flexibility may indeed be offered by computerised tests, which typically record much more information (i.e., accuracy and reaction time measures simultaneously). These features reduce the chances of human error and allow for quantitative, continuous measures and even significance levels in single patients, including sensitive individual monitoring of performance changes through repeated assessments. The main aim of the present PhD thesis was to preliminarily validate purposely created digital tests, compared to similar classic paper-and-pencil tests traditionally used in the clinical setting for USN assessment. All these tasks were built up in a user-friendly digital environment based on touch screens and digital pens, simulating the act of filling in a paper-and-pencil set-up with the advantages of the touch system. Within three studies, healthy participants and right-brain-damaged patients with and without USN performed both the digital and the paper-and-pencil versions of tests. Data analyses showed promising results, suggesting that digital tests could lead to a more sensitive and precise neuropsychological evaluation, also unmasking cases of covert USN. Lastly, the present findings encourage the collection of normative data in order to adopt digital tests in clinical practice.
APA, Harvard, Vancouver, ISO, and other styles
3

MELE, Santino. "A SAT based test generation method for delay fault testing of macro based circuits." Doctoral thesis, Università degli studi di Ferrara, 2010. http://hdl.handle.net/11392/2388685.

Full text
Abstract:
Delay fault testing and at-speed testing are widely used to verify the timing of synchronous digital IC’s. The importance of these techniques is still growing because of the relevant IC’s parameters uncertainties which characterize the current technologies. In order to drive this process, several fault models and test generation techniques have been developed that target different trade-offs between accuracy and efficiency. The largest fraction of these approaches is based upon gate level descriptions of the circuit. In case the basic building blocks are more complex than logic gates and their implementation is not known, functional level approaches have been proposed. For instance, this is the case for look-up tables based Field Programmable Gate Arrays (FPGAs) and it may be a perspective for deep submicron circuits that exploit logic bricks as basic building blocks. This class of circuits has been referred to as macro or module based. In this context, the main activities performed during the tree years of my PhD are related to the timing failures problems in module-based CMOS VLSI circuits. The attention to module-based (or block-based) circuits follows the current VLSI physical design trends that attempt to limit the parametric failures due to the scaling of technology toward nanometric feature sizes. In such technologies, in fact, the traditional design paradigms that are based on small (i.e gate level) cells may produce high levels of variability, thus resulting in parametric defects. The use of highly regular cell structures, called logic bricks has been proposed to solve these problems thus increasing the yield of VLSI circuits. A brick comprises a logic function created from a small set of logic primitives that are mapped on to a micro-regular fabric. Such logic function is typically more complex that those implemented in traditional VLSI libraries. Field Programmable Gate Array (FPGA) technology also exploits a module based design approach. Unlike logic bricks, FPGAs are completely programmable, because they are based on look up tables (a n-bit LUT can accomplish every n-bit function), but the drawback is related to the implementation of the LUT, that is unknown to designer and not optimized for regularity. In this scenario, the delay fault testing became a big issue, since it is very difficult to study a circuit built using modules whose implementation in not known, either for technological and for intellectual property reasons. Moreover, the aggressive timing policies used in today’s ICs make the need for delay fault testing more relevant. The main PhD activity, that will be explained in detail in this thesis, is related to a new method that we propose to generate test vectors for path delay faults in circuits based on modules. In particular, we consider the single path delay fault model in combinational circuits or in (enhanced) full-scan ones that are composed of functional blocks whose implementation is not known. In such circuits a path fault is detected by suitable conditions so that a test pair is able to propagate a transition through the path under test, in order to detect a path delay fault. In order to identify such conditions, we introduced a new signal representation that enables the use of boolean differential calculus. Also, additional conditions to prevent invalidation of tests by hazards have been identified. We suppose that the dynamic behavior of the block is modeled using input delays such as in the timing arc delay model. We target simple combinational blocks such as logic bricks, that are expected to present up to 8-10 inputs and a low logic depth. The used method is scalable, to generate conditions for path delay fault tests also at gate level. In order to assess the feasibility of the proposed approach, I realized a software, written in C/C++, that permits to find out robust and non-robust test pairs, starting from the BLIF description of a module based circuit. Such a software uses a BDD description of the blocks’ functions on which we apply Boolean Differences to obtain local sensitization conditions at module level. Since there are circuits whose BDD structure may be very large and it may be inefficient (in some cases also infeasible) to treat it, we translate functions obtained at macros level to a CNF description. After that, a SAT solver generates the test pairs at circuit level starting from the conjunction of all the CNF functions. The software tool was used to verify the proposed approach on a set of benchmarks (both combinational or full-scan) from ITC’99 and ISCAS’85 sets. Such benchmarks allowed to show the feasibility of the proposed approach, although they are not fully representative of the target circuits for which the method was developed. Another significant work, carried out during my PhD period, also deal with testing of macro-based circuits, but it concerns specifically logic bricks. In particular, a method for high quality functional fault simulation and test generation for such circuits was conceived and a software tool that implements it was developed. For both the approaches, results showed the feasibility of them, but also highlighted possibilities to improve and extend the work done.
APA, Harvard, Vancouver, ISO, and other styles
4

Dehart, Mehgan. "Relationship between the talk test and ventilatory threshold." Connect to Internet resource, 1999. http://murphylibrary.uwlax.edu/digital/thesis/1999/dehart.pdf.

Full text
Abstract:
Thesis (M.S.)--University of Wisconsin -- La Crosse, 1999.
Digitized and made available by the University of Wisconsin--La Crosse, Murphy Library. Includes bibliographical references. Online version of print edition.
APA, Harvard, Vancouver, ISO, and other styles
5

Horn, Sonja, and Evelina Olsson. "Hur digitalt format påverkar studenters läsning : Läshastighet och läsupplevelse vid läsning av digital text kontra inskannad text." Thesis, KTH, Skolan för elektroteknik och datavetenskap (EECS), 2019. http://urn.kb.se/resolve?urn=urn:nbn:se:kth:diva-254776.

Full text
Abstract:
Universitet och högskolor rekommenderar idag stora mängder digital kurslitteratur. Digitalt material kan representeras i olika format, dels som inskannad från bok (inkongruent läsning), där texten inte kan bli manipulerad, samt som digital text (kongruent läsning), där texten är producerad för ett digitalt syfte och kan bli manipulerad till dess föredragna utseende (typsnitt, storlek, etc.). Dessutom möjliggör digital text användandet av verktyg såsom överstrykning och markörer. Tidigare studier indikerar att användande av sådana verktyg vid studier är fördelaktigt för studenters prestation. Denna studie syftar till att undersöka om olika digitala presentationer av text (inskannad och digital text) påverkar studenters läshastighet samt läsupplevelse. Två akademiska texter i både digitalt och inskannat format agerade som bas för denna fallstudie där skillnaden i läshastighet och läsupplevelse, mellan två gruppen som läste ett format var, analyserades. Resultatet av denna studie visade ingen signifikant skillnad i läshastighet mellan de två formaten. Däremot fanns det avsevärda skillnader i läsupplevelsen, där digital text föredrogs, med avseende på både tillgängliga verktyg samt utseendet på texten. Resultaten angående läsupplevelsen var i linje med tidigare forskning angående vana och attityd, och visade att studenters tidigare vana och bekvämlighet vid ett format påverkar läsupplevelsen av det formatet. Resultaten indikerade även att det fanns ett oväntat samband mellan att öva sig i läsning på ett format, och sedan föredra det formatet. Sammanfattningsvis har denna fallstudie visat att inskannad text har en negativ effekt på läsupplevelsen, och att detta kan bero på studenters ovana vid det formatet. Digital text är därmed att föredra för studenters läsning.
Universities and colleges recommend great amounts of student litterature digitally, which can be represented in different formats. Either as scanned from a book (incongruent reading), where the text can not be manipulated, or as digital text (congruent reading) where the text is produced for a digital purpose and can be manipulated to its preferable appearance (font, size etc). Furthermore, digital text enables the reader to use tools such as highlighters and markers. Earlier studies indicate that usage of such tools during reading is beneficial for the student reading performance. The results from previous research about reading rate are not cohesive, and non existant for incongruent reading situations. This study aimed to investigate whether different digital presentations of text (i.e., scanned and digital text) have an influence on students’ reading rate and their perception of the reading. Two academic texts in both digital and scanned format provided the basis for this study where the disparity of the reading rate and perception, between two groups who read on one format each, where analyzed. The results of this study showed no significant difference in reading rate between the formats. However, there were substantial differences in the perception of reading, where digital text was preferred, both regarding the available tools and the appearance of the text. The results regarding perception were in alignment with previous research in this field, and confirmed that experience and student comfort with a format affects the perception of reading on that format. Results also indicated an unexpected short-term relationship between practicing a format and preferring that format. In summary, this study showed that scanned text has negative effects on the perception of the reading, and that this might be a consequence of students lacking experience with this format. Digital text is hence the preferred format for student reading situations.
APA, Harvard, Vancouver, ISO, and other styles
6

Niewenhuis, Benjamin T. "A Logic Test Chip for Optimal Test and Diagnosis." Research Showcase @ CMU, 2018. http://repository.cmu.edu/dissertations/1176.

Full text
Abstract:
The benefits of the continued progress in integrated circuit manufacturing have been numerous, most notably in the explosion of computing power in devices ranging from cell phones to cars. Key to this success has been strategies to identify, manage, and mitigate yield loss. One such strategy is the use of test structures to identify sources of yield loss early in the development of a new manufacturing process. However, the aggressive scaling of feature dimensions, the integration of new materials, and the increase in structural complexity in modern technologies has challenged the capabilities of conventional test structures. To help address these challenges, a new logic test chip, called the Carnegie Mellon Logic Characterization Vehicle (CM-LCV), has been developed. The CM-LCV utilizes a two- dimensional array of functional unit blocks (FUBs) that each implement an innovative functionality. Properties including fault coverage, logical and physical design features, and fault distinguishability are shown to be composable within the FUB array; that is, they exist regardless of the size and composition of the FUB array. A synthesis ow that leverages this composability to adapt the FUB array to a wide range of test chip design requirements is presented. The connection between the innovative FUB functionality and orthogonal Latin squares is identified and used to analyze the universe of possible FUB functions. Two additional variants to the FUB array are also developed: heterogenous FUB arrays utilize multiple FUB functions to improve the synthesis ow performance, while pipelined FUB arrays incorporate sequential circuit elements (e.g., ip- ops and latches) that are absent from the original combinational FUB array. In addition to the design of the CM-LCV, methods for testing it are presented. Techniques to create minimal sets of test patterns that exhaustively exercise each FUB within the FUB array are developed. Additional constraints are described for the heterogenous and pipelined FUB arrays that allow these techniques to be applied for both variant FUB arrays. Furthermore, a simple built-in self test (BIST) scheme is described and applied to a reference design, resulting in a 88.0% reduction in the number of test cycles required without loss in fault coverage. A hierarchical FUB array diagnosis methodology (HFAD) is also presented for the CM- LCV that leverages its unique properties to improve performance for multiple defects. Experiments demonstrate that this HFAD methodology is capable of perfect accuracy in 93.1% of simulations with two injected faults, an improvement on the state-of-the-art commercial diagnosis. Additionally, silicon fail data was collected from a CM-LCV manufactured using a 14nm process by an industry partner. A comparison of the diagnosis results for the 1,375 fail logs examined shows that the HFAD methodology discovers additional defects during multiple defect diagnosis that the commercial tool misses for 40 of the diagnosed fail logs. Examination of these cases shows that the additional defects found by the HFAD methodology can result in improved diagnosis confidence and more precise descriptions of the defect behavior(s). The contributions of this dissertation can thus be summarized as the description of the design, test, and diagnosis of a new logic test chip for use in yield learning during process development. This CM-LCV can be adapted to meet a wide range of test chip requirements, can be efficiently and rigorously tested, and exhibits properties that can be used to improve diagnosis outcomes. All of these claims are validated through both simulated experiments and silicon data.
APA, Harvard, Vancouver, ISO, and other styles
7

Leite, Rogerio Lara. "Utilização de equipamentos automaticos de teste em circuitos integrados digitais." [s.n.], 1994. http://repositorio.unicamp.br/jspui/handle/REPOSIP/259330.

Full text
Abstract:
Orientador: Jose Antonio Siqueira Dias
Dissertação (mestrado) - Universidade Estadual de Campinas, Faculdade de Engenharia Eletrica
Made available in DSpace on 2018-07-19T16:00:39Z (GMT). No. of bitstreams: 1 Leite_RogerioLara_M.pdf: 681548 bytes, checksum: 4d0c0a495d19d9b6c369eb38102a2ce4 (MD5) Previous issue date: 1994
Resumo: Este trabalho comenta alguns aspectos importantes do teste automático de um cir­ cuito integrado digital. Apresenta os principais tipos de testes elétricos realizados por um equipamento automático de teste, comentando as diferenças dos testes dependendo da tecnologia do componente, nas diversas fases da vida de um circuito integrado digital. São descritos, de forma suscinta, os principais mecanismos de falhas em CI's digitais e são apresentadas as principais medições elétricas necessárias para avaliar o desempenho de um circuito integrado. Descrevemos também o equipamento automático de teste (ATE) e sua linguagem de programação, comentando como esta máquina é im­portante para testar circuitos integrados digitais. O trabalho termina com dois programas de teste reais, escritos em Pascal, comentando os resultados das medições de cada programa
Abstract: This work comments some important aspects of the digital integrated circuit auto­matic test. It presents the most common electrical tests done by an Automatic Test Equipment - ATE. The test differences depending on chip technology in the various steps of the integrated circuit life are commented. The main IC's digital faults and failures mechanisms are commented in a introductory way. The principal electrical measurements necessary to estimate the performance of an digital IC¿s presented. The architecture and the language of the ATE is presented , discussing how this machine is important to test digital integrated circuits. The work ends with two real test programs, written in Pascal commenting the results of the measurements of each test program
Mestrado
Mestre em Engenharia Elétrica
APA, Harvard, Vancouver, ISO, and other styles
8

Loeblein, James T. "A digital hardware test system analysis with test vector translation." Thesis, Monterey, California. Naval Postgraduate School, 1992. http://hdl.handle.net/10945/23643.

Full text
Abstract:
Approved for public release; distribution is unlimited
Digital logic testing occurs in two different test environments, digital simulation and actual hardware testing. A computer aided design (CAD) tool applies a set of stimulus/response test vector patterns to check the functionality of a digital circuit design. Once manufactured, the chip with this design is tested by a hardware tester system (i.e. automatic test equipment (ATE)). The ATE performs many tests in addition to the functionality test. However the stimulus/response test vector formats used in these two environments are different and, therefore, incompatible in present form. This thesis is aimed at two major objectives. first, a system study will be performed on the GenRad-125 VLSI Hardware Tester System, including its usage, test capabilities and limitations. Secondly, this thesis addresses the problem of test vector format incompatibility between the two testing environments. Special UNIX tools, Lex and Yacc, are used to create a software translator which changes the CAD simulation file into the GenRad-125 Hardware Test System format.
APA, Harvard, Vancouver, ISO, and other styles
9

Traiola, Marcello. "TEST TECHNIQUES FOR APPROXIMATE DIGITAL CIRCUITS." Thesis, Montpellier, 2019. http://www.theses.fr/2019MONTS060.

Full text
Abstract:
Au cours des dernières décennies, la demande d’efficacité informatique n’a cessé de croître. L’affirmation d’applications de nouvelle génération consommatrices d’énergie d’un côté, et d’appareils portables basse consommation de l’autre, exige un nouveau paradigme informatique capable de faire face aux exigences concurrentes des défis technologiques actuels. Ces dernières années, plusieurs études sur les applications dites de "Recognition, Mining and Synthesis (RMS)" ont été menées. Une particularité très intéressante a été identifiée : la résilience intrinsèque de ces applications. Une telle propriété permet aux applications RMS d’être très tolérantes aux erreurs. Ceci est dû à différents facteurs, tels que les données bruyantes traitées par ces applications, les algorithmes non déterministes utilisés et les réponses non uniques possibles. Ces propriétés ont été exploitées par un nouveau paradigme informatique de plus en plus établi : le calcul approximé (AxC). L’AxC profite intelligemment de la résilience intrinsèque des applications RMS pour réaliser des gains en termes de consommation électrique, de temps de fonctionnement et/ou de surface de puce. En effet, en introduisant des assouplissants sélectifs des spécifications non critiques, certaines parties du système informatique cible peuvent être simplifiées, pour finalement atteindre l’objectif de l’AxC. De plus, l’AxC est capable de cibler différentes couches des systèmes informatiques, du matériel au logiciel. Dans cette thèse, nous nous concentrons sur les circuits intégrés approximés (AxICs) qui sont le résultat de l’application AxC au niveau matériel. En particulier, nous nous concentrons sur l’approximation fonctionnelle des circuits intégrés, utilisée au cours des dernières années afin de concevoir efficacement les AxICs. En raison de la pertinence croissante des AxICs, il devient important de relever les nouveaux défis pour tester de tels circuits. À cet égard, certains travaux ont attiré l’attention sur les défis que représente l’approximation fonctionnelle pour les procédures de test. En même temps, l’approximation fonctionnelle des circuits intégrés offre également des possibilités. Plus en détails - d’une part - le concept de circuit acceptable change : alors qu’un circuit est conventionnellement bon si ses réponses ne sont jamais différentes de celles attendues, dans le contexte AxIC certaines réponses inattendues peuvent encore être acceptables. Pour la même raison - d’autre part - certaines fautes acceptables peuvent ne pas être détectées, ce qui mène à un gain de rendement de production (c.-à-d., augmentation du pourcentage de circuits acceptables, parmi tous les circuits fabriqués). Pour mesurer l’erreur produite par un AxIC, plusieurs métriques d’erreur ont été proposées dans la littérature. Dans cette thèse, nous présentons un ensemble de techniques de test pour les circuits approximés. En particulier, nous nous concentrons sur trois phases fondamentales du déroulement du test. Premièrement, la classification des fautes AxIC en non-redundant et ax-redundant (c.-à-d. catastrophique et acceptable, respectivement) en fonction d’un seuil d’erreur (c.-à-d. la quantité maximale tolérable d’erreur). Cette classification permet d’obtenir deux listes de fautes (c.-à-d. nonredundant et ax-redundant). Ensuite, nous proposons une génération automatique de séquences de test qui soit “consciente de l’approximation”. Les tests obtenus préviennent les défaillances catastrophiques en détectant les fautes non-redundant. En même temps, ils minimisent la détection sur les ax-redundant. Enfin – puisque dans certains cas le gain de rendement obtenu ne correspond toujours pas à celui attendu, à cause de la structure propre des AxICs – nous proposons une technique pour classer correctement les AxICs dans les catégories “catastrophiquement défectueux” et “acceptablement défectueux”, après l’application du test
Despite great improvements of the semiconductor industry in terms of energy efficiency, the computer systems’ energy consumption is constantly growing. Many largely used applications – usually referred to as Recognition, Mining and Synthesis (RMS) applications – are more and more deployed as mobile applications and on Internet of Things (IoT) structures. Therefore, it is mandatory to improve the future silicon devices and architectures on which these applications will run. Inherent resiliency property of RMS applications has been thoroughly investigated over the last few years. This interesting property leads applications to be tolerant to errors, as long as their results remain close enough to the expected ones. Approximate Computing (AxC) , is an emerging computing paradigm which takes advantages of this property. AxC has gained increasing interest in the scientific community in last years. It is based on the intuitive observation that introducing selective relaxation of non-critical specifications may lead to efficiency gains in terms of power consumption, run time, and/or chip area. So far, AxC has been applied on the whole digital system stack, from hardware to application level. This work focuses on approximate integrated circuits (AxICs), which are the result of AxC application at hardware-level. Functional approximation has been successfully applied to integrated circuits (ICs) in order to efficiently design AxICs. Specifically, we focus on testing aspects of functionally approximate ICs. In fact – since approximation changes the functional behavior of ICs – techniques to test them have to be revisited. In fact, some previous works – have shown that circuit approximation brings along some challenges for testing procedures, but also some opportunities. In particular, approximation procedures intrinsically lead the circuit to produce errors, which have to be taken into account in test procedures. Error can be measured according to different error metrics. On the one hand, the occurrence of a defect in the circuit can lead it to produce unexpected catastrophic errors. On the other hand, some defects can be tolerated, when they do not induce errors over a certain threshold. This phenomenon could lead to a yield increase, if properly investigated and managed. To deal with such aspects, conventional test flow should be revisited. Therefore, we introduce Approximation-Aware testing (AxA testing). We identify three main AxA testing phases: (i) AxA fault classification, (ii) AxA test pattern generation and (iii) AxA test set application. Briefly, the first phase has to classify faults into catastrophic and acceptable; the test pattern generation has to produce test vectors able to cover all the catastrophic faults and, at the same time, to leave acceptable faults undetected; finally, the test set application needs to correctly classify AxICs under test into catastrophically faulty, acceptably faulty, fault-free. Only AxICs falling into the first group will be rejected. In this thesis, we thoroughly discuss the three phases of AxA testing, and we present a set of AxA test techniques for approximate circuits. Firstly, we work on the classification of AxIC faults into catastrophic and acceptable according to an error threshold (i.e. the maximum tolerable amount of error). This classification provides two lists of faults (i.e. catastrophic and acceptable). Then, we propose an approximation-aware (ax-aware) Automatic Test Pattern Generation. Obtained test patterns prevent catastrophic failures by detecting catastrophic defects. At the same time, they minimize the detection of acceptable ones. Finally – since the AxIC structure often leads to a yield gain lower than expected – we propose a technique to correctly classify AxICs into “catastrophically faulty”, “acceptably faulty”, “and fault-free”, after the test application. To evaluate the proposed techniques, we perform extensive experiments on state-ofthe-art AxICs
APA, Harvard, Vancouver, ISO, and other styles
10

Jervan, Gert. "Hybrid Built-In Self-Test and Test Generation Techniques for Digital Systems." Doctoral thesis, Linköping : Dept. of Computer and Information Science, Univ, 2005. http://urn.kb.se/resolve?urn=urn:nbn:se:liu:diva-2994.

Full text
APA, Harvard, Vancouver, ISO, and other styles
11

Goodby, James Laurence. "Test synthesis and self-test in high performance VLSI digital signal processing /." Diss., Connect to a 24 p. preview or request complete full text in PDF format. Access restricted to UC campuses, 1997. http://wwwlib.umi.com/cr/ucsd/fullcit?p9811793.

Full text
APA, Harvard, Vancouver, ISO, and other styles
12

Schick, Bastian. "A Digital Test Bench for Pneumatic Brakes." Thesis, KTH, Spårfordon, 2021. http://urn.kb.se/resolve?urn=urn:nbn:se:kth:diva-290043.

Full text
Abstract:
This master’s thesis covers the structuring and implementation of a digital testbench for the air brake system of freight trains. The test bench will serveto further improve the existing brake models at Transrail Sweden AB. Theseare used for the optimised calculation of train speed profiles by the DriverAdvisory System CATO. This work is based on the research of the technicalbackground, as well as the methodical approach to physical modelling anda modular implementation of the test bench. It gives full flexibility for thesimulation of customised train configurations using the European UIC brakesystem. Train length and vehicle arrangement can be adapted to the user’sspecific needs. For example, the test bench could be used for the simulation ofa train with distributed power. The system parameters are stored in a vehiclelibrary for the convenient generation of train configurations. This vehiclelibrary is freely expandable.The simulation is based on an equivalent electric circuit model which iscompleted with nozzle flow modelling. This model involves monitoring themain pipe, brake cylinder and reservoir pressure. Linear approximation is usedto obtain braking forces for the individual wagons and for the whole train. Thedepiction of the brake system behaviour is mostly accurate in the operationalscenarios, which is validated with measurement data. Additional calibrationis required for further reduction of the simulation errors and an extension ofthe model’s domain of validity. The test bench is developed by incrementaland iterative modelling and prepared for further improvements and variations,for example the adaption to the American AAR system variant.The presented work can also be used as a basis for similar implementationssuch as driving simulators. The methods are transferable to other applicationsof modular simulation.
Det här examensarbetet omfattar formgivningen och implementeringen aven digital provbänk för tyckluftsbromssystemet på godståg. Provbänken skaanvändas för att vidareutveckla befintliga bromsmodeller hos Transrail SwedenAB. De används för beräkningen av optimerade hastighetsprofiler förtåg i förarassistanssystemet CATO. Arbetet baserar sig på undersökningenav den tekniska bakgrunden, samt ett metodiskt angreppssätt för fysikaliskmodellering. Verktyget är implementerat på ett modulärt sätt. Provbänkenger full flexibilitet för simuleringen av skräddarsydda tågkonfigurationer somanvänder det europeiska UIC-bromssystemet. Tåglängd och fordonsanordningkan anpassas enligt användarens behov, till exempel för simulering av fördeladtraktion. Systemparametrarna lagras i ett fordonsbiliotek som förenklar inmatningenav tågkonfigurationer. Fordonsbiblioteket kan utvidgas enligt behov.Simuleringen är baserad på en ekvivalent strömkretsmodell, som kompletterasmed modellerad dysströmning. Simuleringen beskriver trycket ihuvudledningen, bromscylindern och förrådsluftsbehållaren. Bromskrafternaapproximeras linjärt efter trycken för de enskilda vagnarna såväl som helatåget. Simuleringen återger beteendet av bromssystemet i alla driftsituationerpå ett verklighetsnära sätt, enligt validering med mätdata från Knorr-Bremse:stestanläggning. Ytterligare kalibrering behövs för att minimera avvikelserna isimuleringen och för att utvidga modellens giltighetsdomän. Provbänken harutvecklats i stegvis modellering och är väl förberedd för vidareutveckling ochanpassning. Ett exempel är anpassningen för att simulera det amerikanskaAAR-bromssystemet.Arbetet som presenteras här är lämplig för användning i liknande applikationer,såsom körsimulatorer. Metoden kan tillämpas allmänt på övrigaanvändningsområden av modulär simulering.
APA, Harvard, Vancouver, ISO, and other styles
13

Ekman, Johan. "Bilder av text : Digitalisering som remediering." Thesis, Uppsala universitet, Institutionen för ABM, 2016. http://urn.kb.se/resolve?urn=urn:nbn:se:uu:diva-295318.

Full text
Abstract:
The subject of this master's thesis is how digitisation changes the understanding of textual archival matter. Drawing on document theory and media theory, the concept of digitisation is analysed as remediation, the repro - duction of one medium in another. A key theoretical assumption is that digitisation is not a neutral process, and conceptualising digitisation as remediation is a way of analysing the changed charasteristics of archival matter when represented in another medium. A tentative model for analysing remediated archival matter is presented, identifying three aspects or dimensions as crucial in understanding how remediation affects the interpretation of archival matter: the document dimension, the media dimension and the institutional dimension. The source material for this thesis are four instances of digitised archival material, each conceptualised and analysed as a case study. The four case studies are: a digitised archival volume from the archives of Swedish art museum Moderna Museet; the digitised typewritten manuscript of the poem ”De sju dödssynderna” (”The seven deadly sins”) by Swedish author and poet Karin Boye, available on the cultural heritage portal Alvin; a digitised handwritten notebook forming part of the manuscript for the novel To the Lighthouse by English author Virginia Woolf, available on the website Woolf Online; the digitised verdict from Swedish court of justice Kammarrätten (Administrative Court of Appeal) regarding the age rating for the film The Twilight Saga: Breaking Dawn – Part One. It is argued that the digitised archival material in each case study has the character of a digital facsimile, whose charasteristics are dependent upon choices made in its production as well as mode of presentation. It is further argued that the characteristics of each digital facsimile shape and mediate the understanding of the archi - val matter, and that some kinds of information, e. g. textual information, are more easily represented than others, e. g. material information. In the light of these results, the changing role of the archive and the possibilities of different kinds of rese - arch are discussed. The original value of this master's thesis lies in its further development of the concept of re - mediation as a concept in archival science as well as deepening the understanding of the interpretative fra - meworks surrounding digitised archival matter. This is a two years master's thesis in Archive, Library and Museum studies.
APA, Harvard, Vancouver, ISO, and other styles
14

Cronin, Susan Joan. "Digital text and physical experience : French digital literatures between work and text." Thesis, University of Cambridge, 2019. https://www.repository.cam.ac.uk/handle/1810/289127.

Full text
Abstract:
This thesis takes into consideration the presence of computers and electronic equipment in French literary and multimedia discussions, beginning in the first chapter with the foundation of the Oulipo group in 1960 and taking as a starting point the group's conceptions of the computer in relation to literature. It proceeds in the second chapter to explore the materialities and physical factors that have informed the evolution of ideas related to the composition and reading of digital texts, so as to illuminate some of the differences that may be purported to exist between e-literatures and traditional print works. Drawing on Roland Barthes' 'Between Work and Text,' the chapters gradually progress into an exploration of spatiality in digital and interactive literatures, taking into account the role of exhibitions in accommodating and diffusing these forms in France, notably the 1985 exhibition 'Les Immatériaux,' to whose writing installations the third chapter is dedicated. The first three chapters thus focus on computer assisted reading and writing prior to 1985. The chapters that form the second half of the thesis deal with more recent years, exploring online and mobile application works, reading these as engendering their own distinct physical spaces that extend beyond the 'site' of the work - both the website or display and the tactile materials on which the work is operated - creating in relation to the reading what Roberto Simanowski terms a 'semiotic body'. The fourth chapter takes into consideration the role of the reader's body in Annie Abrahams' 'Séparation' and Xavier Malbreil's 'Livre des Morts'. The fifth chapter explores gesture as a mode of reading and reinscription in the online, interactive works of Serge Bouchardon. Finally, the sixth chapter looks at mobile application narratives, spampoetry and email art, offering ways of reading the new spatialities these forms generate. The work as a whole aims to offer some perspectives for considering digital literatures as capable of creating complex spatial experiences between work and text.
APA, Harvard, Vancouver, ISO, and other styles
15

Archambeau, Éric. "Test fonctionnel des circuits intégrés digitaux." Grenoble INPG, 1985. http://tel.archives-ouvertes.fr/tel-00316164.

Full text
Abstract:
L'objet de cette thèse est l'étude de deux méthodes de génération automatique de vecteurs de test pour les circuits intégrés digitaux. Après un rappel des problèmes actuels posés par le test des circuits VLSI (partie I), deux méthodes de génération automatique de vecteurs de test adressant deux types différents d'hypothèses de pannes sont présentées: une méthode heuristique de génération de vecteurs (partie II) et une méthode de test pseudo-exhaustif (partie III)
APA, Harvard, Vancouver, ISO, and other styles
16

Archambeau, Eric Saucier Gabrièle. "Test fonctionnel des circuits intégrés digitaux." S.l. : Université Grenoble 1, 2008. http://tel.archives-ouvertes.fr/tel-00316164.

Full text
APA, Harvard, Vancouver, ISO, and other styles
17

Starfelt, Mårten. "Läsning utan eftertanke båtar föga : En undersökning av läsning och digital text i två digitala läromedel." Thesis, Karlstads universitet, Institutionen för språk, litteratur och interkultur (from 2013), 2020. http://urn.kb.se/resolve?urn=urn:nbn:se:kau:diva-78497.

Full text
Abstract:
I den här uppsatsen görs det en undersökning vars syfte är att undersöka hur två digitala läromedel (Digilär svenska 1 för gymnasiet och Metafor 1) framställer momentet läsning och läsning av digital text. Undersökningen drivs av tre frågeställningar vilka behandlar läromedlens stoff kring digital text, uppgifternas kunskapsperspektiv och utnyttjande av det digitala mediets potential. Metodvalet bygger på Selander samt Åkerfeldt och Selander (2016; 2011) vilket syftar till att undersöka och värdera läromedel. Teorin bygger på Granaths och Estling Vanneståls (2008) olika synsätt på användandet av IT i undervisningen. Undersökningen påvisar sammanfattningsvis att Digilärs svenska 1 för gymnasiet inte har någon tydlig inriktning mot digital text även om digital text förekommer i det undersökta avsnittet. Detta är problematiskt då forskningen mycket tydligt pekar på att digitala texter är mer svårlästa än papperstexter sett till läsförståelse. Forskningen pekar också på att dessa problem bör gå att avhjälpa med lämpliga lässtrategier. Visst återfinns det en lässtrategi i Digilär, det väldiga frågebatteriet till litteraturanalysen, vilken skulle kunna tänkas sänka läshastigheten och därigenom borga för ökad läsförståelse. Dock paketeras detta inte som en lässtrategi utan som en mall för litteraturanalys. Trots att läromedlet inte tar höjd för läsförståelse i någon primär utsträckning bör det inte likställas med att elever är helt utan hopp om att lära sig. Lärarna finns kvar. Lärarna kan lära ut. Läromedel från ett förlag eller dylikt är ingen absolut nödvändighet för att lärande ska ske. Ett stort ansvar och stor makt finns fortfarande hos lärarna. Är inte ett läromedel nog bra för att i någon större mån utveckla läsförståelsen hos eleverna finns alltså läraren kvar som en backup. Läraren kan även anpassa läromedlet till belåtenhet. Metafor 1, å sin sida, har ett arbetsområde där kopplingen till digital text och för den delen läsförståelse är mycket tydlig. I uppgifterna vilka är riktade mot läsning av digital text finns det inslag av metakognitiv karaktär, av sociokulturell karaktär och av konstruktivistisk karaktär. Kanske ännu viktigare: det finns lässtrategier representerade. Beprövade strategier vilka syftar till att hjälpa eleverna att öka sin läsförståelse när dessa läser digitala texter. Beprövade strategier vilka möter forskningen som är gjord på området, exempelvis att sänka läshastigheten vid läsning av digital text.
The aim of this study is to investigate how two digital learning materials (Digilär svenska 1 för gymnasiet and Metafor 1) presents reading and reading of digital texts. The study is propelled by three questions which circles around the learning materials content, the design and perspectives of the assignments and how well the potential of the digital media is being utilized. The study has shown, in summary, that Digilär does not have an explicit focus on digital texts even though digital texts, by nature, appears in the learning material. This is problematic due to the fact that research shows that digital texts are harder to read than analogue texts from the perspective of reading comprehension. Research also shows that the difficulty there is in reading digital texts can be solved by the use of reading strategies. Metafor 1, on the other hand, has a special subject dedicated to digital texts and the reading of digital texts. The assignments, which are aimed towards reading of digital texts, does have reading strategies represented. The conclusion is therefore that Metafor 1 meets the demands of the previous research in a much for explicit and clear-cut way than Digilär. Digilär does not bring the subject of reading digital texts to light even closely as explicit as Metafor 1.
APA, Harvard, Vancouver, ISO, and other styles
18

Jervan, Gert. "High-Level Test Generation and Built-In Self-Test Techniques for Digital Systems." Licentiate thesis, Linköping : Univ, 2002. http://www.ep.liu.se/lic/science_technology/09/73/index.html.

Full text
APA, Harvard, Vancouver, ISO, and other styles
19

Huynh, Sam DuPhat. "Testability analysis for mixed analog/digital circuit test generation and design for test /." Thesis, Connect to this title online; UW restricted, 1999. http://hdl.handle.net/1773/6134.

Full text
APA, Harvard, Vancouver, ISO, and other styles
20

Kjellin, Emma. "Digitala matematikprestationer : En studie om att visa sina kunskaper via digitala verktyg." Thesis, Mittuniversitetet, Institutionen för matematik och ämnesdidaktik, 2020. http://urn.kb.se/resolve?urn=urn:nbn:se:miun:diva-40516.

Full text
Abstract:
Då nationella prov och bedömningsstöd är under digitalisering undersöks i denna studie om elevers provresultat i matematik ger en rättvisande bild av deras kunskaper när de ska visa dessa via ett digitalt verktyg. Forskningsfältet talar både för och emot användandet av digitala verktyg när elever ska visa sina kunskaper i prov- samt bedömningssituationer. Det råder inte enighet om det är fördelaktigt att använda digitala verktyg eller inte. I denna studie jämfördes elevers resultat på analoga och digitala prov i matematik för att undersöka om provskrivningsverktyg påverkar elevers möjligheter att visa sina kunskaper i matematik. Undersökningsgruppen bestod av 224 elever under vårterminen i årskurs 2 där 113 elever genomförde provet digitalt och 111 elever analogt. Det använda provet behandlade additions- och subtraktionsoperationer, öppna utsagor och likhetstecknets betydelse.  Alla elever genomförde provet på det sätt de var vana vid vilket gav eleverna de optimala förutsättningarna att lyckas. För att analysera skillnaden mellan elevers provresultat användes ett t-test där resultatet visade att det inte var en statistiskt signifikant skillnad mellan elevers resultat. Eleverna presterade likvärdigt i alla delmoment av provet oavsett om de skrivit det analogt eller digitalt. Elever som är vana användare av digitala enheter och dess verktyg missgynnas inte av att skriva digitala prov under vårterminen i årskurs 2 i arbete med additions- och subtraktionsoperationer, öppna utsagor och likhetstecknets betydelse inom talområdet 1–9.
APA, Harvard, Vancouver, ISO, and other styles
21

Bryars, John, and Gregory S. Smith. "DIGITAL RECORDING SYSTEMS FOR UNDERGROUND NUCLEAR TEST DATA." International Foundation for Telemetering, 1992. http://hdl.handle.net/10150/608934.

Full text
Abstract:
International Telemetering Conference Proceedings / October 26-29, 1992 / Town and Country Hotel and Convention Center, San Diego, California
A Digital Output Recorder (DOR) system was developed by JAYCOR under contract to Defense Nuclear Agency (DNA) for the recording of high-speed digital data from test hardware exposed to radiation during an Underground Nuclear Test conducted at the Nevada Test Site (NTS) in 1991. Electronics hardware for the system is based on the well-supported Versa Module Europe (VME) bus which has become an industry standard for digital process and control systems. The system collects, identifies, and telemeters the data from several interfaces using the VME bus to a common data collection point above ground. The system was designed with built-in flexibility and expandability to meet digital data recording requirements on future underground tests (UGTs).
APA, Harvard, Vancouver, ISO, and other styles
22

Wong, Mike Wai-Tak. "Test pattern generation for synthesis systems." Thesis, University of Southampton, 1993. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.334672.

Full text
APA, Harvard, Vancouver, ISO, and other styles
23

Chen, Yaw-Huei 1959. "A NEW TEST GENERATION ALGORITHM IMPLEMENTATION." Thesis, The University of Arizona, 1987. http://hdl.handle.net/10150/276527.

Full text
Abstract:
This thesis describes a new test generating algorithm, depth-first algorithm. This algorithm detects the reconvergent fanout. The controllability and observability measures are included in this algorithm to guide the forward and consistency drives. The major objective of this research is to develop a test vector generatiang algorithm, which is modified from D-algorithm, and to link this algorithm with SCIRTSS programs. This depth-first algorithm is more accurate and more efficient than D-algorithm. Serveral circuits are tested under DF3 and SCR3 and the results are listed in this paper.
APA, Harvard, Vancouver, ISO, and other styles
24

Andersson, Angelica, and Sara Persson. "Läsförståelse av digitala texter : En litteraturstudie om vad som påverkar elevers utveckling av digital läsförståelse." Thesis, Högskolan i Halmstad, Akademin för lärande, humaniora och samhälle, 2018. http://urn.kb.se/resolve?urn=urn:nbn:se:hh:diva-36327.

Full text
Abstract:
Läsförståelse är en förmåga som är nödvändig för att kunna ta del av information och verka i samhället. På grund av den snabba tekniska utvecklingen förmedlas allt mer information via digitala medier vilket medför att kraven på läsförmågan numera även omfattar digitalt läsande. En konsekvens av denna utveckling är att undervisningen i skolan behöver anpassas för att ge elever deförutsättningar som krävs för att kunna ta del av och förstå den information som finns tillgänglig såväl analogt som digitalt. Syftet med denna litteraturstudie är att undersöka vad forskning visar om hur elever utvecklar digital läsförståelse i modersmålsundervisning. Studiens resultat visar att de likheter som finns medför att eleven behöver behärska samma förmågor för digital läsförståelse som för traditionell läsförståelse. De skillnader som finns medför att lärare behöver inkludera träning av unika förmågor som krävs för digital läsförståelse för att möjliggöra elevers utveckling av digital läsförståelse. Studiens resultat visar att om lärare inkluderar lässtrategier och elevsamarbete i undervisningen kan det påverka elevers utveckling av digital läsförståelse positivt. På grund av att det finns lite svensk forskning på området finns behov av studier kring hur modersmålsundervisning i en svensk skola påverkar elevers utveckling av digital läsförståelse.
APA, Harvard, Vancouver, ISO, and other styles
25

Silva, Ana Lucia Mendes Cruz Silvestre da. "Procedimentos para redução do efeito de bloco em sinais de video codificados por MPEG-2 test model 5." [s.n.], 2001. http://repositorio.unicamp.br/jspui/handle/REPOSIP/260194.

Full text
Abstract:
Orientador : Yuzo Iano
Dissertação (mestrado) - Universidade Estadual de Campinas, Faculdade de Engenharia Eletrica e de Computação
Made available in DSpace on 2018-07-28T09:43:14Z (GMT). No. of bitstreams: 1 Silva_AnaLuciaMendesCruzSilvestreda_M.pdf: 9262882 bytes, checksum: 89b0df8b2f6cee94189a3edf8914bdb3 (MD5) Previous issue date: 2001
Mestrado
APA, Harvard, Vancouver, ISO, and other styles
26

Abdelhay, Ahmad. "Test en ligne des systèmes digitaux linéaires." Grenoble INPG, 2001. http://www.theses.fr/2001INPG0023.

Full text
Abstract:
Les systemes digitaux lineaires representent une classe importante des circuits utilises dans nombreuses applications critiques. Pour cela, le probleme du test en ligne des systemes digitaux lineaires est tres important car une erreur de donnees, pendant la periode de fonctionnement normal, peut entrainer de graves consequences. L'objet de ce travail est d'etudier une nouvelle approche de conception et d'integration des detecteurs de defauts en ligne pour les systemes digitaux lineaires. La methode proposee de detection concurrente (en ligne) de fautes est basee sur l'exploitation de la redondance analytique decrivant les relations entre les histoires des signaux d'entrees et de sorties du systeme sous test. Les algorithmes developpes garantissent aussi une detection robuste de fautes, c'est-a-dire une sensibilite maximale aux fautes et minimale au bruit (le bruit genere a l'interieur d'un systeme n'est pas considere comme fautes et doit etre tolere). Le circuit de test concurrent, calculant la relation de la redondance, d'un systeme digital lineaire sert comme indicateur d'erreur (fautes) car sa sortie est zero en l'absence de fautes et differente de zero en presence de fautes. Les circuits robustes et optimaux supplementaires requis pour l'implementation des testeurs (circuits de test) en ligne restent tres raisonnables en taille. A l'inverse des autres techniques de test traitant des cas specifiques des systemes et posant des conditions sur les conceptions a tester, la methode proposee est generale et applicable a tout systeme digital pourvu qu'il soit lineaire. Les parametres ainsi que la description vhdl du circuit robuste et optimal de test, pour chaque systeme digital lineaire, peuvent etre generes automatiquement par un outil dedie developpe au courant de la these. La generation se fait soit a partir de la description vhdl du systeme a tester soit a partir des parametres du systeme fournis directement a l'outil.
APA, Harvard, Vancouver, ISO, and other styles
27

Stroph, Ralf. "Acceptance test design for fault tolerant, digital control systems." Thesis, University of York, 1999. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.298586.

Full text
APA, Harvard, Vancouver, ISO, and other styles
28

Rosinger, Paul. "Power conscious scan based test of digital VLSI circuits." Thesis, University of Southampton, 2003. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.274702.

Full text
APA, Harvard, Vancouver, ISO, and other styles
29

Woelk, Linley Elton. "Digital generation of low frequency, low distortion test waveforms." Thesis, Kansas State University, 1985. http://hdl.handle.net/2097/16049.

Full text
APA, Harvard, Vancouver, ISO, and other styles
30

Prabhu, Sarvesh P. "Techniques for Enhancing Test and Diagnosis of Digital Circuits." Diss., Virginia Tech, 2015. http://hdl.handle.net/10919/51181.

Full text
Abstract:
Test and Diagnosis are critical areas in semiconductor manufacturing. Every chip manufactured using a new or premature technology or process needs to be tested for manufacturing defects to ensure defective chips are not sold to the customer. Conventionally, test is done by mounting the chip on an Automated Test Equipment (ATE) and applying test patterns to test for different faults. With shrinking feature sizes, the complexity of the circuits on chip is increasing, which in turn increases the number of test patterns needed to test the chip comprehensively. This increases the test application time which further increases the cost of test, ultimately leading to increase in the cost per device. Furthermore, chips that fail during test need to be diagnosed to determine the cause of the failure so that the manufacturing process can be improved to increase the yield. With increase in the size and complexity of the circuits, diagnosis is becoming an even more challenging and time consuming process. Fast diagnosis of failing chips can help in reducing the ramp-up to the high volume manufacturing stage and thus reduce the time to market. To reduce the time needed for diagnosis, efficient diagnostic patterns have to be generated that can distinguish between several faults. However, in order to reduce the test application time, the total number of patterns should be minimized. We propose a technique for generating diagnostic patterns that are inherently compact. Experimental results show up to 73% reduction in the number of diagnostic patterns needed to distinguish all faults. Logic Built-in Self-Test (LBIST) is an alternative methodology for testing, wherein all components needed to test the chip are on the chip itself. This eliminates the need of expensive ATEs and allows for at-speed testing of chips. However, there is hardware overhead incurred in storing deterministic test patterns on chip and failing chips are hard to diagnose in this LBIST architecture due to limited observability. We propose a technique to reduce the number of patterns needed to be stored on chip and thus reduce the hardware overhead. We also propose a new LBIST architecture which increases the diagnosability in LBIST with a minimal hardware overhead. These two techniques overcome the disadvantages of LBIST and can make LBIST more popular solution for testing of chips. Modern designs may contain a large number of small embedded memories. Memory Built-in Self-Test (MBIST) is the conventional technique of testing memories, but it incurs hardware overhead. Using MBIST for small embedded memories is impractical as the hardware overhead would be significantly high. Test generation for such circuits is difficult because the fault effect needs to be propagated through the memory. We propose a new technique for testing of circuits with embedded memories. By using SMT solver, we model memory at a high level of abstraction using theory of array, while keeping the surrounding logic at gate level. This effectively converts the test generation problem into a combinational test generation problem and make test generation easier than the conventional techniques.
Ph. D.
APA, Harvard, Vancouver, ISO, and other styles
31

Li, Wencheng. "A test generation system for behaviorally modeled digital circuits." Diss., This resource online, 1996. http://scholar.lib.vt.edu/theses/available/etd-09232008-144806/.

Full text
APA, Harvard, Vancouver, ISO, and other styles
32

Vincze, Sandra. "Digital nudging : Ett test av valarkitektur i online-miljö." Thesis, Högskolan i Gävle, Avdelningen för datavetenskap och samhällsbyggnad, 2020. http://urn.kb.se/resolve?urn=urn:nbn:se:hig:diva-32324.

Full text
Abstract:
Valarkitektur är ett verktyg för nudging som handlar om att påverka individers beteenden i en önskad riktning utan att vilseleda, manipulera eller ta bort valmöjligheter. Valarkitektur handlar om att konstruera valsituationer så att det blir lätt att göra rätt, med en vänlig knuff i rätt riktning. Nudging och valarkitektur har sitt ursprung i beteendeekonomi och är något som växt fram från hur beslut fattas med eventuella felbedömningar och misstag. I vår moderna och digitaliserade tid fattas dagligen beslut i online-miljöer som också behöver designas smart för bästa utfall utifrån satta mål. I detta arbete testas valarkitektur på en redan befintlig webbshop för att undersöka hur en ny utformning av användargränssnittet påverkar konsumenters köp av biobaserade plastprodukter. Den nya designen, som testades under en månad, innebar två förändringar där en var visuell och en var informativ. Förändringarna gjordes utifrån tre designprinciper för digital nudging ur litteraturen. Resultatet tyder på att den nya designen kan ha bidragit till att produkterna gjorda av biobaserad plast ökade både i antal visningar och försäljning, utan att dölja andra alternativ och utan att vilseleda eller manipulera konsumenten. Testet utgår från en itererad modell i fyra steg, även den ur litteraturen, och kan vidareutvecklas eller återupptas i en annan kontext.
Choice architecture is a tool for nudging that involves affecting individuals’ behaviors in a desired direction without misleading, manipulating or removing choices. Choice architecture is about constructing choice situations so that it becomes easy to do right, with a friendly nudge in the right direction. Nudging and choice architecture originate in behavioral economics and are something that has emerged from how decisions are made with possible miscalculations and mistakes. In our modern and digitized era, decisions are made daily in online environments that also need to be smartly designed for the best outcomes based on set goals. In this thesis choice architecture is tested on an existing web shop to investigate how a new user interface design affects consumers' purchases of bio-based plastic products. The new design, which was tested over a month, involved two changes where one was visual, and one was informative. The changes were based on three design principles for digital nudging from the literature. The results show that the new design may have contributed to the increase in products made of bio-based plastics both in terms of views and sales, without hiding other options and without misleading or manipulating the consumer. The test is based on a four-step iterated model, also from the literature, and can be further developed or resumed in a different context.
APA, Harvard, Vancouver, ISO, and other styles
33

Padilla, Rene David Carranza L. "Digital measurement of power system frequency." Thesis, University of Bath, 1994. https://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.239952.

Full text
APA, Harvard, Vancouver, ISO, and other styles
34

Payandehmehr, Bahare, and Marta Placzkowska. "Digital mirrors : Supplementary information to increase driver’s awareness of surroundings." Thesis, KTH, Maskinkonstruktion (Inst.), 2015. http://urn.kb.se/resolve?urn=urn:nbn:se:kth:diva-175366.

Full text
Abstract:
Replacement of physical rear-view mirrors with digital offer new possibilities for vision enhancements and supplementary information that could facilitate driving. However, the invehicle support systems and information contribute to increased mental workload of the driver and inadequate Human Machine Interface (HMI) can lead to distraction and impaired driving performance. Therefore, it is crucial to explore what relevant information can be implemented and how it should be designed regarding cognitive ergonomics to promote human information processing. The aim of the project was to create one or more concepts for supplementary information that could be implemented in the digital mirrors in order to increase driver’s awareness of the surroundings and therefore contribute to improved road safety. The design process consisted of a pre-study regarding cognitive ergonomics followed by three iterations including user interaction, literature studies, concept generation and evaluation with respect to acquired theoretical knowledge and tests with professional truck drivers. This approach allowed for identification of user demands and development a refined user oriented solution. The final concept was evaluated in a driving simulator with ten truck drivers to investigate potential effects on driver performance regarding detection of obstacles in blind spots, vehicle control and subjective opinions considering perceived mental workload and user acceptance. The evaluation resulted in significantly improved detection rate of vehicles in blind spots with the developed concept. Longitudinal and lateral vehicle control was not affected hence, the additional information did not distract the drivers. Furthermore, the drivers perceived mental workload was not increased and the user acceptance was significantly improved after the test indicating that drivers’ expectations were met and furthermore exceeded. The results of the study suggest that supplementary information in digital mirrors can be beneficial for improving driver’s awareness of the surroundings and should be further investigated.
Ersättning av fysiska backspeglar i lastbilar skapar förutsättningar för kompletterande visuell information som kan bidra till säkrare körning. Informationskällor i fordon kan vara en bidragande faktor till ökad mental belastning hos förarna och bristfällig användargränssnitt kan leda till distraktion och nedsatt körprestation. Därmed är det väsentligt att undersöka vilken typ av visuell information som kan implementeras i de digitala speglarna samt hur den ska utformas med avseende på kognitiv ergonomi. Syftet med denna studie var att undersöka vilken relevant information som kan inkluderas i de digitala speglarna för att bidra till ökad medvetenhet om omgivningen hos förarna och därmed till ökad trafiksäkerhet. Utvecklingsprocessen bestod av en förundersökning av de ergonomiska aspekterna följd av tre iterationer bestående av användarundersökning, litteraturstudier, generation av koncept samt utvärdering utifrån erhållen teoretisk kunskap och användartester med yrkesförare. Denna metod möjliggjorde att identifiera användarkrav och utveckla en lösning anpassad efter förarnas behov. Det slutliga konceptet utvärderades i en körsimulator med tio lastbilschaufförer för att undersöka dess potentiella effekter på förarens prestationsförmåga med avseende på detektering av hinder i döda vinklar, fordonskontroll och subjektiva åsikter angående mental arbetsbelastning och användaracceptans. Resultaten påvisade signifikant förbättring av detektion av fordon i de döda vinklarna med det utvecklade konceptet. Kontrollen av fordonet påverkades ej vilket tyder på att informationen inte upplevdes som distraherande. Den subjektiva mentala belastningen ökades inte och användaracceptansen förbättrades signifikant efter testet vilket indikerar att förarnas förväntningar uppfylldes. Resultaten av studien tyder på att kompletterande information i digitala speglar kan vara fördelaktig för att förbättra förarnas medvetenhet om omgivningen och bör utredas vidare.
APA, Harvard, Vancouver, ISO, and other styles
35

Andersson, Susanne, and Sofie Uppman. "En fokusgruppsstudie om digital kompetens i förskolan : Prova, testa, göra om - där skapas kompetens." Thesis, Högskolan i Borås, Akademin för bibliotek, information, pedagogik och IT, 2018. http://urn.kb.se/resolve?urn=urn:nbn:se:hb:diva-14438.

Full text
Abstract:
För att ge barn möjlighet att utveckla digital kompetens behöver förskollärare ha kunskap och intresse att arbeta med digitala verktyg i förskolan. Vi lever i en värld där digital kompetens behövs eftersom samhället till stor del fungerar digitalt. Av den anledning har vi valt att göra en undersökning med syfte att skapa mer kunskap om digital kompetens i förskolan. För att nå syftet använder vi oss av följande frågeställningarna “vad innebär digital kompetens för förskollärare” samt “hur kan användandet av digitala verktyg enligt förskollärare bidra till barns lärande och utveckling”. Det kommande förslaget för revidering av förskolans läroplan framhäver att barn skall ha möjlighet att utveckla adekvat digital kompetens och det är förskollärarnas ansvar att i sin undervisning möjliggöra för detta. I studien presenteras tidigare forskning och annan litteratur som belyser styrdokument och riktlinjer, dessutom förskollärares kunskaper om digitala verktyg samt barns lärande genomdigitala verktyg. Vi har valt en kvalitativ metod som genomförs genom fokusgruppssamtal. Fokusgruppssamtal har som syfte att fördjupa en kunskap genom diskussion mellan flera deltagare, i det här fallet för att synliggöra hur förskollärare resonerar kring digital kompetens i förskolan. Resultatet visar att förskolläraren behöver vara intresserade och motiverade att använda digitala verktyg i förskolan. Förskollärarens kunskaper kring verktygens användningsområde samt möjligheter påverkar möjligheterna för barns lärande genom digitala verktyg. Resultatet visar även att förskollärarna anser det vara viktigt att arbeta med digitala verktyg för att ge barn de kunskaper som kommer att behövas för kommande skolgång och arbetsliv. Vidare visar resultatet att förskollärarna ser det lustfyllda lärandet som drivkraften i arbetet där barns erfarenheter och intressen är utgångspunkten. Förskollärarna har flera mål när de medierar med digitala verktyg, där ämneskunskaper utvecklas genom kommunikation och samspel mellanbarn.
APA, Harvard, Vancouver, ISO, and other styles
36

Ashouri, Ehsan. "Den ”analoga” mot den digitala workshopen inför ett TOEFL test." Thesis, Malmö högskola, Fakulteten för kultur och samhälle (KS), 2012. http://urn.kb.se/resolve?urn=urn:nbn:se:mau:diva-21022.

Full text
Abstract:
Att kunna träna effektivt till ett avgörande prov är en förutsättning för ett bra resultat. Denna uppsats har ägnats åt möjligheten till självstudier inför ett TOEFL -prov med digitala hjälpmedel i form av applikationer till mobiltelefonen. I detta arbete jämförs två olika typer av studiemateri-al, där den ena (analog) representerar det som används för undervisning i TOEFL-kurser. Den andra (digital) representerar alternativet för de som vill skippa kursen och träna på egen hand via självstudier i telefonen. Med Schmitts metodanalys som verktyg har dessa applikationer under-sökts för att se hur pass väl de motsvarar de krav som finns för att klara READING-sektionen i ett prov.Inledningsvis håller den digitala självstudiemetoden en relativt bra standard. Men när de ana-lyseras i sömmarna står det klart att de inte lyckas leverera det som krävs för att klara delprovet READING i ett ”riktigt” TOEFL-test. Denna läromedelsanalys visar att digitala självstudier för-visso är en bra start, och ett steg i rätt riktning inför de förberedelser som krävs – men de räcker inte hela vägen då de fallerar när det kommer till detaljer. Applikationerna siktar högt och försöker ge en autentisk bild av provet. Dock visar det sig att de är alldeles för ”tunna” för att på riktigt kunna återge den rätta svårighetsgraden.
APA, Harvard, Vancouver, ISO, and other styles
37

Williams, Dewi L. (Dewi Lloyd) Carleton University Dissertation Engineering Electrical. "A Functional-test specification language." Ottawa, 1988.

Find full text
APA, Harvard, Vancouver, ISO, and other styles
38

Greifeneder, Elke. "Does it matter where we test?" Doctoral thesis, Humboldt-Universität zu Berlin, Philosophische Fakultät I, 2012. http://dx.doi.org/10.18452/16545.

Full text
Abstract:
Die Benutzerforschung zu digitalen Bibliotheken sieht sich aktuell zwei Herausforderungen gegenüber: dem Bestreben, Studien vermehrt über das Internet durchzuführen, und dem Wunsch, Benutzerverhalten in natürlichen Umgebungen statt in Laborsituationen zu erforschen. Asynchrone Remote-(Usability-)Tests sind eine methodische Herangehensweise, die möglicherweise die Lösung beider Bedürfnisse sind. Sie erlauben Personen die Teilnahme an einer Studie zu einem Zeitpunkt und an einem Ort ihrer Wahl; der Ort der Wahl entspricht dabei i.d.R der natürlichen Nutzungsumgebung der Teilnehmer. Da die Validität einer Studie von der Qualität der Daten abhängt, ist es für die Forschung sehr wichtig, die möglichen Einflüsse von Ablenkungen in der natürlichen Umgebung auf das Nutzerverhalten in der Testsituation zu bedenken. Das Dissertationsprojekt untersuchte, inwieweit asynchrone Remote-Studien aufgrund von störenden Ablenkungsfaktoren systematischen Fehler bei der Evaluierung digitaler Bibliotheken produzieren. In einem Experiment wurde das Vorhandensein von Ablenkung während der Testdurchführung in natürlichen Umgebungen ermittelt sowie der Einfluss dieser Ablenkung auf das Nutzungsverhalten analysiert. Experimentell wurde die Zeit gemessen, die Teilnehmer in einem Labor und Teilnehmer in ihrer natürlichen Umgebung zur Fertigstellung des Tests benötigten. Die Ergebnisse des Experiments zeigen, dass die Teilnehmer während der Studie stark abgelenkt waren und dass sie in ihrer natürlichen Umgebung deutlich mehr Zeit für denselben Test benötigten. Der Ort der Testdurchführung beeinträchtigte jedoch statistisch gesehen weder die Erledigung der Aufgaben noch die abgegebenen Bewertungen der Teilnehmer noch ihren Entscheidungsprozess. Aus den Ergebnissen folgt, dass der Ort der Testdurchführung nicht relevant ist, aber dass es von großer Bedeutung für die Validität der Daten ist, im Test zu erheben, was während der Durchführung in der natürlichen Umgebung des Teilnehmers geschieht.
User studies in digital libraries face two fundamental challenges. The first is the necessity of running more user studies in an online environment. Users can access digital library collections and services worldwide and the services should be usable at any time. This need for more online studies is coupled with a second need, a demand to test under realistic conditions outside of laboratories in users’ natural environment. Asynchronous remote usability tests are a methodological approach that might answer both needs: they allow participants to take part in a study at a time and place of their choice, often in the participants’ natural environment. Any chosen place, however, might be noisy. Distractions are ubiquitous in a user’s natural environment. An awareness of the potential influences of distractions on users’ behavior during test situations is of great importance, because the validity of a study depends on the quality of the data. If an instrument allows systematic mistakes in measurements because of distractions, the validity is at risk. This dissertation examined if distraction in the users’ natural environment produces a systematic mistake in digital library studies that take place at a time and location of participants’ choice. In order to investigate the existence of distractions during online user studies in digital libraries and to analyze the influence(s) of that distraction, a psychological experiment was set up. It ex¬amined completion time scores between participants in a laboratory and participants in their natural environment. The results of the experiment showed that participants were highly distracted and that participants in their natural environment needed more time to complete the same test. The setting did not affect successful task completions, the participants’ judgments of sites or their decision-making processes. This work can conclude that it does not matter where we test, but it matters what happens during the test.
APA, Harvard, Vancouver, ISO, and other styles
39

Raik, Jaan. "Hierarchical test generation for digital circuits represented by decision diagrams /." Tallinn : TTU Press, 2001. http://www.loc.gov/catdir/toc/fy0611/2006530982.html.

Full text
APA, Harvard, Vancouver, ISO, and other styles
40

Kelly, Richard Thevenet. "DETERMINING COST EFFECTIVE TEST FLOWS FOR DIGITAL PRINTED CIRCUIT BOARDS." Thesis, The University of Arizona, 1985. http://hdl.handle.net/10150/275385.

Full text
APA, Harvard, Vancouver, ISO, and other styles
41

Patel, Mayank Raman. "HARDWARE COMPILER DRIVEN HEURISTIC SEARCH FOR DIGITAL IC TEST SEQUENCES." Thesis, The University of Arizona, 1985. http://hdl.handle.net/10150/275246.

Full text
APA, Harvard, Vancouver, ISO, and other styles
42

Mukusheva, Dana. "Exploring the screening power of the Digital Maze Completion test." Thesis, Massachusetts Institute of Technology, 2017. https://hdl.handle.net/1721.1/121822.

Full text
Abstract:
Thesis: M. Eng., Massachusetts Institute of Technology, Department of Electrical Engineering and Computer Science, 2017
Cataloged from PDF version of thesis.
Includes bibliographical references (pages 65-66).
The Digital Maze Completion Test is a novel and unique screening tool for dementia and related cognitive diseases. The test incorporates a combination of a digitizing pen, carefully designed mazes, and sophisticated software. The subject's behavior while solving the maze has potential to reveal the nuances in their cognitive state, which can be used for early diagnosis of impairments such as Alzheimer's disease. In this thesis, we explored the subject's decision making process and planning ability by interpreting and analyzing the relevant data collected by the digitizing pen. We came up with definitions of the associated features that we implemented in the software and extracted from the real-world clinical data. We have evaluated the predictive power of some of the features by applying machine learning classifiers to distinguish the individuals from the various clinical subgroups, such as healthy controls, subjects with Mild Cognitive Impairment, etc. Our key observation is that even a simple subset of the features is quite powerful to perform on par with the traditional screening tools, such as Mini-Mental State Examination. Therefore, we confirmed that the Digital Maze Completion Test is a promising screening tool, the further development and research of which will help to reveal more information about the patients' cognitive conditions.
Supported in part by National Science Foundation Award IIS-1404494 and by the Robert E. Wise Research and Education Institution
by Dana Mukusheva.
M. Eng.
M.Eng. Massachusetts Institute of Technology, Department of Electrical Engineering and Computer Science
APA, Harvard, Vancouver, ISO, and other styles
43

Huang, Lauren(Lauren A. ). "The digital symbol digit test : screening for Alzheimer's and Parkinson's." Thesis, Massachusetts Institute of Technology, 2017. https://hdl.handle.net/1721.1/122052.

Full text
Abstract:
This electronic version was submitted by the student author. The certified thesis is available in the Institute Archives and Special Collections.
Thesis: M. Eng., Massachusetts Institute of Technology, Department of Electrical Engineering and Computer Science, 2017
Cataloged from student-submitted PDF version of thesis.
Includes bibliographical references (pages 81-82).
Neurodegenerative diseases affect the cognition of millions of people worldwide, degrading their quality of life and placing a burden on their families. Early identication can be extremely beneficial in treating or slowing down the onset of these diseases. One technique used to identify early warning signs is the use of cognitive tests. Unfortunately, grading these tests is subjective. In this study, we quantitatively evaluated the digital Symbol Digit Test (dSDT), in which patients translate symbols into digits based on a given mapping. In collaboration with Dr. Penney of Lahey Clinic, we administered the dSDT to over 170 patients using a digitizing pen that measures its position on the page and the pressure applied. We developed support vector machine and logistic regression classifiers that indicate Alzheimer's Disease and Parkinson's Disease with an area under the curve of 0.957 and 0.963, respectively.
by Lauren Huang.
M. Eng.
M.Eng. Massachusetts Institute of Technology, Department of Electrical Engineering and Computer Science
APA, Harvard, Vancouver, ISO, and other styles
44

Mlynarski, Angela, and University of Lethbridge Faculty of Arts and Science. "Automatic text summarization in digital libraries." Thesis, Lethbridge, Alta. : University of Lethbridge, Faculty of Arts and Science, 2006, 2006. http://hdl.handle.net/10133/270.

Full text
Abstract:
A digital library is a collection of services and information objects for storing, accessing, and retrieving digital objects. Automatic text summarization presents salient information in a condensed form suitable for user needs. This thesis amalgamates digital libraries and automatic text summarization by extending the Greenstone Digital Library software suite to include the University of Lethbridge Summarizer. The tool generates summaries, nouns, and non phrases for use as metadata for searching and browsing digital collections. Digital collections of newspapers, PDFs, and eBooks were created with summary metadata. PDF documents were processed the fastest at 1.8 MB/hr, followed by the newspapers at 1.3 MB/hr, with eBooks being the slowest at 0.9 MV/hr. Qualitative analysis on four genres: newspaper, M.Sc. thesis, novel, and poetry, revealed narrative newspapers were most suitable for automatically generated summarization. The other genres suffered from incoherence and information loss. Overall, summaries for digital collections are suitable when used with newspaper documents and unsuitable for other genres.
xiii, 142 leaves ; 28 cm.
APA, Harvard, Vancouver, ISO, and other styles
45

Bergman, Louise, and Sara Hansson. "Digitaliseringen av den traditionella rekryteringsprocessen : En kvalitativ studie om digitala arbetspsykologiska tester." Thesis, Högskolan i Skövde, Institutionen för handel och företagande, 2020. http://urn.kb.se/resolve?urn=urn:nbn:se:his:diva-18577.

Full text
Abstract:
Bakgrund: Den traditionella rekryteringsprocessen har i takt med digitaliseringens utveckling både utmanats och kompletterats. En önskan från organisationer att skapa en mer kompetensbaserad matchning till en specifik position har bidragit till implementeringen av digitala arbetspsykologiska tester i samband med rekryteringsprocessen. Digitala tester kan ge en indikation på en kandidats arbetsprestanda, vilket kan bidra till bättre anställningsbeslut samt att undvika kostsamma felrekryteringar. Vidare berör studien huruvida digitala tester bidrar till mångfald på en arbetsplats samt belysa den kritik mot digitala tester som finns i dagsläget. Det är av intresse att studera de styrkor som digitala tester bidrar med för att komplettera den traditionella rekryteringsprocessen samt reducera eller eliminera dess svagheter. Syfte: Syftet med studien är att skapa en större förståelse för hur digitala arbetspsykologiska tester kompletterar samt utmanar den traditionella rekryteringsprocessen utifrån ett organisatoriskt perspektiv. Vidare är syftet med studien att belysa huruvida digitala arbetspsykologiska tester bidrar till mångfald på arbetsplatsen. Metod: Vi har genomfört en fallstudie då detta gett oss en möjlighet att upprätta en realistisk beskrivning av organisationens utveckling samt möjligheten att studera vårt fall och dess specifika förutsättningar på djupet. Vi har valt att använda oss av en kvalitativ metod i form av sju intervjuer samt fyra datakällor som komplement. Slutsats: Studiens resultat indikerar att implementeringen av digitala arbetspsykologiska tester har bidragit till en positiv påverkan på organisationens rekryteringsprocess. De svagheter som den traditionella rekryteringsprocessen besitter skapar ett större behov för organisationer att komplettera med ett digitalt verktyg som kan skapa en mer kompetensbaserad rekrytering. Digitala tester möjliggör även till objektivitet vid ett urvalsbeslut då beslut grundas på de egenskaper samt kvaliteter som är av värde, istället för rekryterarens personliga åsikter och intressen. Det empiriska resultatet indikerar att digitala tester bidrar till mångfald på arbetsplatsen då dessa medför att rekryteringsprocessen blir mer objektiv, oavsett i vilket stadie testerna utförs. Komplementet bidrar till att rekryterare kan fokusera på att hitta den mest passande kandidaten för positionen och inte påverkas av medvetna eller omedvetna personliga värderingar.
Background: The traditional recruitment process, in association with the development of digitalisation, has been both challenged and complemented. A desire by organizations to create a more competency-based match for a specific position has contributed to the implement of digital work psychological tests in connection with the recruitment process. Digital tests can give an indication of a candidate's work performance, which can contribute to better hiring decisions and to avoid expensive cost of restarting the recruitment process. Furthermore, the study focus on whether digital testing can contribute to diversity in the workplace and highlight the criticism of digital testing. It is of interest to study the strengths of digital testing that can complement and, in some cases, eliminate the weaknesses of the traditional recruitment process. Purpose: The purpose of the study is to create a bigger understanding of how digital work psychological tests complement and challenge the traditional recruitment process from an organizational perspective. Furthermore, the purpose of the study is to clarify whether digital tests contribute to diversity in the workplace. Method: This study is a case study because it gives us an opportunity to create a realistic description of the development of the organization. This also gives us a opportunity to study our case in depth and its specific conditions. We have chosen to use a qualitative method in the form of seven interviews and four data sources as a complement. Conclusion: The study results show that the implementation of digital work psychological tests has contributed to a positive impact on the organisation's recruitment process. The weaknesses of the traditional recruitment process create a greater need for organizations to complement with a digital tool that can create a more competency-based recruitment. Digital testing also allows for objectivity in a selection decision when decisions are based on the characteristics and qualities that are of value, rather than the recruiter's personal opinions and interests. The empirical result shows that digital tests contribute to diversity in the workplace as the tests generates that the recruitment process becomes more objective, regardless of when the test takes place. The complement helps recruiters to focus on finding the most suitable candidate for the position and not being affected by conscious or unconscious personal values.
APA, Harvard, Vancouver, ISO, and other styles
46

Castro, Patrícia de Pádua 1980. "Spot test quantitativo para chumbo com análise de imagens, aplicado a solos." [s.n.], 2013. http://repositorio.unicamp.br/jspui/handle/REPOSIP/250170.

Full text
Abstract:
Orientador: Adriana Vitorino Rossi
Tese (doutorado) - Universidade Estadual de Campinas, Instituto de Química
Made available in DSpace on 2018-08-23T10:19:07Z (GMT). No. of bitstreams: 1 Castro_PatriciadePadua_D.pdf: 5260822 bytes, checksum: f6893f2bb59ad505050a8c1a755fee4a (MD5) Previous issue date: 2013
Resumo: Pb(II) e um contaminante acumulativo em solos; reações de spot test servem para análises exploratórias para avaliar contaminações. A reação de spot test entre Pb(II) e rodizonato (ROD) forma um complexo vermelho (PbROD), que pode ser quantificado em dispositivo microfluídico em papel (mPAD) em associação com análise digital de imagens, estudada neste trabalho para aplicação em solos. Misturas reacionais de ROD 9,96 mmol L, em tampão tartarato, e soluções aquosas de Pb(NO3)2 na faixa de concentração de 0,20 a 5,00 mmol L foram utilizadas para a formação de PbROD em mPAD e empregadas no desenvolvimento do método que envolveu a utilização de um scanner comercial para obtenção das imagens e, um programa para extração de dados de cores em sistema RGB (Red, Green e Blue) para quantificação por meio de curvas de calibração. O método desenvolvido foi aplicado para análise de Pb(II) em 28 amostras de solos dopadas com (PbNO3)2 a uma concentração 300 mg kg de solo, utilizando solução de HNO3 0,43 mmol L como extrator, que extraiu em média 75% do Pb(II) adicionado. A limitação imposta pelas barreiras hidrofóbicas no mPAD foi crucial para viabilizar a quantificação de Pb(II). Os dados extraídos da componente G da imagem do produto da reação obtido no mPAD apresentaram os melhores resultados. A precisão intermediária do método de spot test para Pb(II) formando PbROD foi 4 %, com limites de detecção e quantificação iguais a 0,07 e 0,24 mmol L, respectivamente. Das 28 amostras estudadas, sete não desenvolveram cor na reação devido às características físicas e químicas dos solos e a presença de possíveis interferentes (principalmente Ba, Sr, Matéria Orgânica, P e Argila). A grande heterogeneidade dos solos e os complexos mecanismos de adsorção e dessorção dos íons que afetam sua disponibilidade para a reação de análise representam aspectos limitantes para a aplicação do método desenvolvido, porém os resultados apontaram adequação para análise semiquantitativa exploratória de Pb(II) em áreas de solos contaminados
Abstract: Lead (Pb) is a cumulative soil contaminant, which can be assessed by spot test reactions in exploratory analyzes regarding soil contamination. The spot test reaction between Pb(II) and rhodizonate (ROD) forms a red complex (PbROD), which can be quantified on paper microfluidic device (mPAD) in combination with digital image analysis. The objective with this work was to develop a method based on the aforementioned system, for the determination of Pb(II) in soils. Reaction mixtures containing 9.96 mmol L of ROD (prepared in tartrate buffer) and aqueous solutions of Pb(NO3)2 in a concentration range from 0.20 to 5.00 mmol L were used to form PbROD in mPAD and employed for the method development. A commercial scanner was used to obtain images and a program was employed to extract data from the RGB (Red, Green and Blue) colors system for Pb(II) quantification, by using calibration curves. The method was applied for the analysis of Pb(II) in 28 soil samples spiked with Pb(NO3)2 at a concentration of 300 mg kg of soil and a 0.43 mmol L HNO3 solution was used as the extractant, which was able to extract, in average, 75% of Pb(II) added. The limitation imposed by hydrophobic barriers in the mPAD was crucial to enable the quantification of Pb(II). The data extracted from the G component of the image of the reaction product obtained in the mPAD showed the best results. Intermediate precision of the spot test method for Pb(II) forming PbROD was 4%, with limits of detection and quantification equal to 0.07 and 0.24 mmol L, respectively. From the 28 samples studied, seven did not develop color in the reaction, due to their physical and chemical characteristics and the presence of possible interferences (mainly Ba, Sr, Organic matter, P and clay content). The great heterogeneity of soils and the complex mechanisms of sorption and desorption of ions, that affect their availability for reaction analysis, represent limiting aspects for the quantitatively application of the method for soils. But the results show suitability for semiquantitative exploratory analyzes of Pb (II) in areas with soils contaminated with this element
Doutorado
Quimica Analitica
Doutora em Ciências
APA, Harvard, Vancouver, ISO, and other styles
47

Stareček, Lukáš. "Optimalizace testu digitálního obvodu multifunkčními prvky." Doctoral thesis, Vysoké učení technické v Brně. Fakulta informačních technologií, 2012. http://www.nusl.cz/ntk/nusl-261264.

Full text
Abstract:
This thesis deals with the possibilities of digital circuit test optimization using multifunctional logic gates. The most important part of this thesis is the explanation of the optimization principle, which is also described by a formal mathematical apparatus. Based on this apparatus, the work presents several options. The optimization of testability analogous to inserting test points and  simple methodology based on SCOAP is shown. The focus of work is a methodology created to optimize circuit tests. It was implemented in the form of software tools. Presented in this work are the results of using these tools to reduce the test vectors volume while maintaining fault coverage on various circuits, including circuits from the ISCAS 85 test set. Part of the work is devoted to the various principles and technology of creating multifunctional logic gates. Some selected gates of these technologies are subject to simulations of electronic properties in SPICE. Based on the principles of presented methodology and results of multifunctional gates simulations, analysis of various problems such as validity of the modified circuit test and the suitability of each multifunctional gate technology for the methodology was also made. The results of analysis and experiments confirm it is possible for the multifunctional logic gate to optimize circuit diagnostic properties in such a way that has achieved the required circuit test parameter modification with minimum impact on the quality and credibility of these tests.
APA, Harvard, Vancouver, ISO, and other styles
48

Wenzel, Robert Joseph. "Multigigahertz digital test system electronics and high frequency data path modeling." Diss., Georgia Institute of Technology, 1997. http://hdl.handle.net/1853/13334.

Full text
APA, Harvard, Vancouver, ISO, and other styles
49

Kassab, Mark A. "A scheme for built-in self test of a digital integrator /." Thesis, McGill University, 1993. http://digitool.Library.McGill.CA:80/R/?func=dbin-jump-full&object_id=68032.

Full text
Abstract:
In conventional built-in self test (BIST) schemes, additional hardware is normally added to the original circuit for test pattern generation and compaction of test responses. This additional hardware not only adds significant hardware overhead, but also degrades performance, which cannot be tolerated in high performance architectures such as data-path architectures.
Accumulator-Based Compaction (ABC) is a recently introduced test response compaction scheme which targets a broad class of circuits featuring data-path architectures. ABC uses existing arithmetic hardware commonly available in such circuits to perform compaction of test responses with little or no modification to the circuit under test (CUT). This implies little or no area overhead or performance degradation.
A scheme based on ABC is introduced to test an integrator circuit commonly used to perform decimation in signal processing systems. The integrator suffers from poor testability due to the nature of the inputs supplied to it. Minor modifications to the circuit are proposed which enhance testability significantly, with negligible area overhead and no performance degradation. Those modifications also allow the CUT itself to be used for test pattern generation and test response compaction. Monte-Carlo simulations are used to verify the complete fault coverage attained after implementation of the suggested modifications. Furthermore, experiments based on measure of the average entropy, namely state coverage and transition coverage, are used to heuristically measure the testability of the circuit independent of a specific fault model.
APA, Harvard, Vancouver, ISO, and other styles
50

Wang, Lin. "Digital prototyping of a dental articular simulator to test prosthetic components." Thesis, University of Bristol, 2010. http://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.540870.

Full text
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography