Dissertations / Theses on the topic 'STED lithography'

To see the other types of publications on this topic, follow the link: STED lithography.

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 28 dissertations / theses for your research on the topic 'STED lithography.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse dissertations / theses on a wide variety of disciplines and organise your bibliography correctly.

1

Müller, Patrick [Verfasser], and M. [Akademischer Betreuer] Wegener. "Molecular Photoswitches for STED-inspired Laser Lithography / Patrick Müller ; Betreuer: M. Wegener." Karlsruhe : KIT-Bibliothek, 2019. http://d-nb.info/1177147297/34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

Kaschke, Johannes Michael [Verfasser], and M. [Akademischer Betreuer] Wegener. "Complex Helical Metamaterials fabricated via STED-inspired Laser Lithography / Johannes Michael Kaschke. Betreuer: M. Wegener." Karlsruhe : KIT-Bibliothek, 2015. http://d-nb.info/1080701001/34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Müller, Rouven [Verfasser], and C. [Akademischer Betreuer] Barner-Kowollik. "Spatially resolved immobilization of metallopolymers – Spiropyrans for light sensitive metal complexes and STED-inspired laser lithography / Rouven Müller ; Betreuer: C. Barner-Kowollik." Karlsruhe : KIT-Bibliothek, 2019. http://d-nb.info/1197138900/34.

Full text
APA, Harvard, Vancouver, ISO, and other styles
4

Colburn, Matthew Earl. "Step and flash imprint lithography : a low-pressure, room-temperature nanoimprint lithography /." Access restricted to users with UT Austin EID Full text (PDF) from UMI/Dissertation Abstracts International, 2001. http://wwwlib.umi.com/cr/utexas/fullcit?p3025205.

Full text
APA, Harvard, Vancouver, ISO, and other styles
5

Kim, Eun Jung. "Surface Microtopography Modulation of Biomaterials for Bone Tissue Engineering Applications." Cleveland State University / OhioLINK, 2010. http://rave.ohiolink.edu/etdc/view?acc_num=csu1273557062.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Cheng, Zhe Annie. "Biological multi-functionalization and surface nanopatterning of biomaterials." Thesis, Bordeaux 1, 2013. http://www.theses.fr/2013BOR15202/document.

Full text
Abstract:
Le but de la conception d’un biomatériau est de mimer les modèles qui puissent être représentatifs de la matrice extracellulaire (MEC) existant in vivo. Cet objectif peut être atteint en associant une combinaison de cellules et des facteurs biologiques à un biomatériau sur lequel ces cellules peuvent se développer pour reconstruire le tissu natif. Dans cet étude, nous avons crée des surfaces bioactives nanostructurées en combinant la nanolithographie et la fonctionnalisation de surface, en greffant un peptide RGD ou BMP-2 (bone morphogenetic protein 2). Nous avons étudié l’effet de cette nanodistribution sur le comportement des cellules souches mésenchymateuses en analysant leur adhésion et différentiation. Nous notons que la nanodistribution des peptides induit une bioactivité qui a un impact sur l’organisation du cytosquelette, la conformation des fibres de stresse de l’actin, la maturation des adhésions focales (AFs), et le commitment des cellules souches. En particulier, l’aire, la distribution, et la conformation des AFs sont affectes par la présence des nanopatterns. En plus, le RGD et le BMP-2 changent le comportement cellulaire par des voies et des mécanismes différents en variant l’organisation des cellules souches et la maturation de leurs AFs. La nanodistribution influence de façon évidente les cellules souches en modifiant leur comportement (adhésion et différenciation) ce qui a contribué et ce qui contribuera à améliorer la compréhension des interactions des cellules avec la MEC
The aim of biomaterials design is to create an artificial environment that mimics the in vivo extracellular matrix for optimized cell interactions. A precise synergy between the scaffolding material, bioactivity, and cell type must be maintained in an effective biomaterial. In this work, we present a technique of nanofabrication that creates chemically nanopatterned bioactive silicon surfaces for cell studies. Using nanoimprint lithography, RGD and mimetic BMP-2 peptides were covalently grafted onto silicon as nanodots of various dimensions, resulting in a nanodistribution of bioactivity. To study the effects of spatially distributed bioactivity on cell behavior, mesenchymal stem cells (MSCs) were cultured on these chemically modified surfaces, and their adhesion and differentiation were studied. MSCs are used in regenerative medicine due to their multipotent properties, and well-controlled biomaterial surface chemistries can be used to influence their fate. We observe that peptide nanodots induce differences in MSC behavior in terms of cytoskeletal organization, actin stress fiber arrangement, focal adhesion (FA) maturation, and MSC commitment in comparison with homogeneous control surfaces. In particular, FA area, distribution, and conformation were highly affected by the presence of peptide nanopatterns. Additionally, RGD and mimetic BMP-2 peptides influenced cellular behavior through different mechanisms that resulted in changes in cell spreading and FA maturation. These findings have remarkable implications that contribute to the understanding of cell-extracellular matrix interactions for clinical biomaterials applications
APA, Harvard, Vancouver, ISO, and other styles
7

Cheng, Zhe. "Biological multi-functionalization and surface nanopatterning of biomaterials." Phd thesis, Université Sciences et Technologies - Bordeaux I, 2013. http://tel.archives-ouvertes.fr/tel-01016695.

Full text
Abstract:
The aim of biomaterials design is to create an artificial environment that mimics the in vivo extracellular matrix for optimized cell interactions. A precise synergy between the scaffolding material, bioactivity, and cell type must be maintained in an effective biomaterial. In this work, we present a technique of nanofabrication that creates chemically nanopatterned bioactive silicon surfaces for cell studies. Using nanoimprint lithography, RGD and mimetic BMP-2 peptides were covalently grafted onto silicon as nanodots of various dimensions, resulting in a nanodistribution of bioactivity. To study the effects of spatially distributed bioactivity on cell behavior, mesenchymal stem cells (MSCs) were cultured on these chemically modified surfaces, and their adhesion and differentiation were studied. MSCs are used in regenerative medicine due to their multipotent properties, and well-controlled biomaterial surface chemistries can be used to influence their fate. We observe that peptide nanodots induce differences in MSC behavior in terms of cytoskeletal organization, actin stress fiber arrangement, focal adhesion (FA) maturation, and MSC commitment in comparison with homogeneous control surfaces. In particular, FA area, distribution, and conformation were highly affected by the presence of peptide nanopatterns. Additionally, RGD and mimetic BMP-2 peptides influenced cellular behavior through different mechanisms that resulted in changes in cell spreading and FA maturation. These findings have remarkable implications that contribute to the understanding of cell-extracellular matrix interactions for clinical biomaterials applications.
APA, Harvard, Vancouver, ISO, and other styles
8

Colburn, Matthew Earl 1974. "Step and flash imprint lithography : a low-pressure, room-temperature nanoimprint lithograph." 2001. http://hdl.handle.net/2152/10298.

Full text
APA, Harvard, Vancouver, ISO, and other styles
9

Jacobsson, Borje Michael. "Materials development for step and flash imprint lithography." Thesis, 2011. http://hdl.handle.net/2152/ETD-UT-2011-08-4239.

Full text
Abstract:
The quest for smaller and faster integrated circuits (ICs) continues, but traditional photolithography, the patterning process used to fabricate them, is rapidly approaching its physical limits. Step and Flash Imprint Lithography (S-FIL®) is a low-cost patterning technique which has shown great potential for next generation semiconductor manufacturing. To date, all methods of imprint lithography have utilized a sacrificial resist to produce device features. Our goal has been to develop functional materials such as insulators that can be directly patterned by S-FIL and then remain as a part of the end product. Directly patternable dielectric (DPD) materials must meet multiple mechanical and physical requirements for application in microelectronic devices. In some cases these requirements are conflicting, which leads to material design challenges. Many different materials and curing methods have been evaluated. Thiol-ene based approaches to patterning hyperbranched materials incorporating Polyhedral Oligomeric Silsesquioxanes (POSS) have shown the greatest promise. Thiol-ene polymerization takes place by a free radical mechanism, but it has the advantage over acrylates of not being inhibited by the presence of oxygen. This greatly eases some engineering design challenges for the S-FIL process. A number of thiol-ene formulations have been prepared and their mechanical and electrical properties evaluated. SFIL-R has been introduced as an alternative technology to SFIL. SFIL-R offers improvements to SFIL in several ways, but requires a high silicon content, low viscosity, planarizing material. Photopolymerizable branched siloxanes were synthesized and evaluated to function as a planarizing topcoat for this technology. Both SFIL and SFIL-R require a clean separation of the template from the resist material. Fouling of templates is a major concern in imprint lithography and fluorinated materials are used to treat templates to lower their surface energy for better separation. It has been observed that the template treatment degrades over time and needs to be replaced for further imprinting. A fluorinated silazane was designed to repair the degraded areas. This material was evaluated and functions as designed.
text
APA, Harvard, Vancouver, ISO, and other styles
10

Tsung-LunWen and 溫宗倫. "Fabrication of Seamless Roller Mold Using Curved Surface Beam Pen Lithography and Step-and-Rotate Lithography." Thesis, 2015. http://ndltd.ncl.edu.tw/handle/64860854775135705951.

Full text
APA, Harvard, Vancouver, ISO, and other styles
11

Johnson, Stephen Christopher Willson C. G. "Step and flash imprint lithography materials and process development /." 2005. http://repositories.lib.utexas.edu/bitstream/handle/2152/1582/johnsons07006.pdf.

Full text
APA, Harvard, Vancouver, ISO, and other styles
12

Johnson, Stephen Christopher. "Step and flash imprint lithography: materials and process development." Thesis, 2005. http://hdl.handle.net/2152/1582.

Full text
APA, Harvard, Vancouver, ISO, and other styles
13

Schuetter, Scott D. "Modeling template distortion during step-and-flash imprint lithography." 2005. http://catalog.hathitrust.org/api/volumes/oclc/58538867.html.

Full text
APA, Harvard, Vancouver, ISO, and other styles
14

Jen, Wei-Lun Kane. "Materials and processes for advanced lithography applications." 2009. http://hdl.handle.net/2152/9703.

Full text
Abstract:
Step and Flash Imprint Lithography (S-FIL) is a high resolution, next-generation lithography technique that uses an ambient temperature and low pressure process to replicate high resolution images in a UV-curable liquid material. Application of the S-FIL process in conjunction with multi-level imprint templates and new imprint materials enables one S-FIL step to reproduce the same structures that require two photolithography steps, thereby greatly reducing the number of patterning steps required for the copper, dual damascene process used to fabricate interconnect wirings in modern integrated circuits. Two approaches were explored for the implementation of S-FIL in the dual damascene process: sacrificial imprint materials and imprintable dielectric materials. Sacrificial imprint materials function as a pattern recording medium during S-FIL and a three-dimensional etch mask during the dielectric substrate etch, enabling the simultaneous patterning of both the via and metal structures in the dielectric substrate. Development of sacrificial imprint materials and the associated imprint and etch processes are described. Application of S-FIL and the sacrificial imprint material in a commercial copper dual damascene process successfully produced functional copper interconnect structures, demonstrating the feasibility of integrating multi-level S-FIL in the copper dual damascene process. Imprintable dielectric materials are designed to combine the multi-level patterning capability of S-FIL with novel dielectric precursor materials, enabling the simultaneous deposition and patterning of the interlayer dielectric material. Several candidate imprintable dielectric materials were evaluated: sol-gel, polyhedral oligomeric silsesquioxane (POSS) epoxide, POSS acrylate, POSS azide, and POSS thiol. POSS thiol shows the most promise as functional imprintable dielectric material, although additional work in the POSS thiol formulation and viscous dispense process are needed to produce functional interconnect structures. Integration of S-FIL with imprintable dielectric materials would enable further streamlining of the dual damascene fabrication process. The fabrication of electronic devices on flexible substrates represents an opportunity for the development of macroelectronics such as flexible displays and large area devices. Traditional optical lithography encounters alignment and overlay limitations when applied on flexible substrates. A thermally activated, dual-tone photoresist system and its associated etch process were developed to enable the simultaneous patterning of two device layers on a flexible substrate.
text
APA, Harvard, Vancouver, ISO, and other styles
15

Wu, Kai. "Interface study for template release in step and flash imprint lithography." Thesis, 2006. http://hdl.handle.net/2152/3002.

Full text
APA, Harvard, Vancouver, ISO, and other styles
16

Reddy, Shravanthi. "Fluid and solid mechanics in the step and flash imprint lithography process." Thesis, 2006. http://hdl.handle.net/2152/2624.

Full text
APA, Harvard, Vancouver, ISO, and other styles
17

Chauhan, Siddharth. "Modeling and defect analysis of step and flash imprint lithography and photolithography." Thesis, 2010. http://hdl.handle.net/2152/ETD-UT-2010-08-2029.

Full text
Abstract:
In 1960's Gordon Moore predicted that the increase in the number of components in integrated circuits would exponentially decrease the relative manufacturing cost per component with time. The semiconductor industry has managed to keep that pace for nearly 45 years and one of the main contributors to this phenomenal improvement in technology is advancement in the field of lithography. However, the technical challenges ahead are severe and the future roadmap laid by the International Technology Roadmap for Semiconductors looks mostly red (i.e. no solution has been found to specific problem). There are efforts in the industry and academia directed toward development of newer, alternative lithographic techniques. Step and Flash Imprint Lithography (SFIL) has recently emerged as one of the most promising alternatives, capable of producing high resolution patterns. While it has numerous advantages over conventional photolithography, several engineering challenges must be overcome to eliminate defects due to the nature of contact imprinting if SFIL is to be a viable alternative technique for manufacturing tomorrow's integrated circuits. The complete filling of template features is vital in order for the SFIL imprint process to truly replicate the template features. The feature filling phenomena for SFIL was analyzed by studying diffusion of a gas, entrapped in the features, through liquid imprint resist. A simulation of the dynamics of feature filling for different pattern configurations and process conditions during the SFIL imprint step is presented. Simulations show that initial filling is pressure-controlled and very rapid; while the rest of the feature filling is diffusion-controlled, but fast enough that diffusion of entrapped gas is not a cause for non-filling of features. A theory describing pinning of an air-liquid interface at the feature edge of a template during the SFIL imprint step was developed, which shows that pinning is the main cause of non-filling of features. Pinning occurs when the pressure at the air-liquid interface reaches the pressure of the bulk liquid. At this condition, there is no pressure gradient or driving force to move the liquid and fill the feature. The effect of several parameters on pinning was examined. A SFIL process window was established and template modifications are proposed that minimize the pinning at the feature edge while still preventing any extrusion along the mesa (pattern containing area on the template) edge. Part of semiconductor manufacturing community believes that optical lithography has the capability to drive this industry further and is committed to the continuous improvement of current optical patterning approaches. Some of the major challenges with shrinking critical dimensions (CDs) in coming years are the control of line-edge roughness (LER) and other related defects. The current CDs are such that the presence or absence of even a single polymer molecule can have a considerable impact on LER. Therefore molecular level understanding of each step in the patterning process is required. Computer simulations are a cost-effective approach to explore the huge process space. Mesoscale modeling is one promising approach to simulations because it captures the stochastic phenomena at a molecular level within reasonable computational time. The modeling and simulation of the post-exposure bake (PEB) and the photoresist dissolution steps are presented. The new simulator enables efficient exploration of the statistical excursions that lead to LER and the formation of insoluble residues during the dissolution process. The relative contributions of the PEB and the dissolution step to the LER have also been examined in the low/high frequency domain. The simulations were also used to assess the commonly proposed measures to reduce LER. The goal of the work was to achieve quantification of the effect of changes in resist composition, developer concentration, and process variables on LER and the associated defectivity.
text
APA, Harvard, Vancouver, ISO, and other styles
18

Caldorera-Moore, Mary. "Development and optimization of shape-specific, stimuli-responsive drug delivery nanocarriers using Step and Flash Imprint Lithography." Thesis, 2010. http://hdl.handle.net/2152/ETD-UT-2010-05-833.

Full text
Abstract:
The advent of highly sophisticated drugs designed to interfere with specific cellular functions has created the demand for “intelligent” carriers that can efficiently deliver therapeutic agents in response to a pathophysiogical condition. Nanoscale intelligent systems can maximize the efficacy of therapeutic treatments in numerous ways because they have the ability to rapidly detect and response to disease states directly at the site and sparing physiologically healthy cells and tissues, thereby improving a patient’s quality of life. Nanoparticle fabrication has primarily relied on emulsions, self-assembly and micelles based methods which inherently generate polydisperse spherical particles with little control over particle geometry. Despite significant progress in such drug delivery systems, critical limitations remain in synthesizing nanocarriers with highly controllable architecture (size, shape or aspect ratio) that can, at the same time, impart response-sensitive release mechanisms. These parameters are essential for controlling the in-vivo transport, bio-distribution, and drug release mechanisms. The objective of my dissertation is to employ the nanofabrication technique Step and Flash Imprint Lithography (S-FIL) to synthesize stimuli-responsive nanocarriers of precise architectures and composition. Applying S-FIL technology, fabrication of nanocarriers of a variety of shapes and sizes (down to 36nm length scale) that are also environmentally responsive by incorporating enzymatically-degradable peptides into the nanocarrier hydrogel matrix, to provide triggered release of encapsulated therapeutic agents in response to specific pathophysiological conditions, has been accomplished. Besides disease-responsive release, the two key properties of an effective nanocarrier are (a) efficient targeting to specific tissues and cells and (b) avoiding rapid clearance and remaining in circulation in the blood stream for a significant amount of time to increase particle uptake in target tissues. These two properties are expected to be dependent on the shape and size of the carriers. Using various shape and size S-FIL fabricated nanoparticles, the effects of particle geometry on intracellular uptake has also been evaluated. In this dissertation, I will present the extensive work that has been done in the fabrication and optimization of the S-FIL nanocarriers, evaluation of the nanocarrier’s in vitro properties, and evaluation of the effects of nanocarrier geometry on intracellular uptake.
text
APA, Harvard, Vancouver, ISO, and other styles
19

Bailey, Todd Christopher. "Imprint template advances and surface modification, and defect analysis for step and flash imprint lithography." Thesis, 2003. http://wwwlib.umi.com/cr/utexas/fullcit?p3116257.

Full text
APA, Harvard, Vancouver, ISO, and other styles
20

Palmieri, Frank Louis 1980. "Step and flash imprint lithography : materials and applications for the manufacture of advanced integrated circuits." 2008. http://hdl.handle.net/2152/17975.

Full text
Abstract:
Step-Flash Imprint Lithography (S-FIL[trademark]) is a low-cost, high-resolution, high-throughput pattern replication process with the potential to become the savior for the future of integrated circuit (IC) manufacturing where continued success ultimately depends on improvements in lithographic resolution. Traditional, optical lithography has driven projection imaging to its physical limits, and a new, disruptive lithography technique is needed for continued growth of the semiconductor industry. The revolutionary S-FIL process is based on the fast, in-situ polymerization of a liquid imprint material in contact with a high-resolution mold or template. The templates, fabricated by direct-write lithography, present the greatest expense when implementing an S-FIL process in manufacturing; therefore, the template lifetime must be maximized to distribute costs over a large number of products. Degradable cross-linking materials allow imprint resist contaminated templates to be cleaned without the risk of inorganic residues becoming lodged on the template surface. Cured imprint resist is insoluble in all non-reactive solvents due to its highly cross-linked structure. A polymer contaminate may be rendered soluble by degrading the cross-links and reducing the molecular weight. Several degradable cross-linker candidates were examined for compatibility with S-FIL processing and utility for wafer imprint reworking and template cleaning. The properties of the imprint resists formulated with degradable cross-linkers are reported. Tertiary ester and acetal containing moieties were di-functionalized with acrylate groups to form S-FIL compatible and acid degradable imprint precursors. Both ester and acetal cross-linkers are neat, low-viscosity ([less than or equal to] 20 cP) liquids at room temperature and are miscible with common imprint precursor components. Classical gel theory predicts that greater than 99% de-cross-linking reaction conversion is necessary to achieve solubility in a cured imprint resist formulation with 10 wt% degradable cross-linker. Concentrated sulfuric acid and heat was used to successfully strip tertiary ester cross-linkers from wafer and model template surfaces. Acetal cross-linkers were demonstrated to strip in the presence of trifluoroacetic acid at room temperature. Three-dimensional patterning is an integral benefit of S-FIL, which enables the streamlining of dual damascene processing with the use of multi-level templates. Multi-level imprint patterning allows the removal of over 100 unit process steps from the fabrication of interconnect structures in a modern IC chip. Multi-level S-FIL can be integrated into existing copper damascene interconnect fabrication using two different strategies. One technique requires an imprint resist and etch process for transferring multi-level imprints into an industry standard low-k dielectric. Some of the considerations for designing the multi-level resist and etch process are briefly described. The second strategy leverages the broad variety and flexibility of the imprint materials set, which is not available in photoresist materials technology. New “functional” imprint materials may be used with multi-level S-FIL to produce interconnect structures by directly imprinting an interlayer dielectric (ILD) precursor. The challenges associated with introducing new dielectric materials into a copper damascene process are presented. The design, processing, characterization and integration of novel materials is documented. Multi-level S-FIL with a directly patternable dielectric (DPD) enables low-cost fabrication of interconnect structures in an IC manufacturing back end of line. DPD’s based on either sol-gel or benzocyclobutane and acrylate functionalized polyhedral oligomeric silsesquioxanes show promise for integration as ILD’s based on sufficient thermal and mechanical properties. Electrical test vehicle integration with sol-gel formulated DPD’s shows promising yield of interconnect structures with vias ranging from 2 to 0.12 [mu]m. Examination of interconnect structure revealed an acceptable via profile and sufficient contact with metal one for integration in IC devices.
text
APA, Harvard, Vancouver, ISO, and other styles
21

Lin, Michael Wayne 1980. "Simulation and design of planarizing materials and interfacial adhesion studies for step and flash imprint lithography." 2008. http://hdl.handle.net/2152/17933.

Full text
Abstract:
Step and flash imprint lithography (SFIL) was developed in 1999 at The University of Texas at Austin as a high resolution, cost-effective alternative to photolithography for nanoscale patterning. Unlike current projection steppers, which are resolution limited by diffraction phenomena, SFIL tools have demonstrated patterning capability down to 20 nm, a resolution currently unattainable using traditional lithographic techniques. The combination of high resolution and low cost of ownership make SFIL a strong candidate for future semiconductor integrated circuit manufacturing. For SFIL to be viable as a high volume process, there are numerous technical issues that need to be resolved. Reverse-tone step and flash imprint lithography (SFIL-R) is a reverse tone variant of SFIL that requires the successful application of a planarizing topcoat over topography through spincoating. Photopolymerizable nonvolatile fluids are ideal topcoat materials because they planarize better than volatile fluids during spincoating and can continue to level after spincoating. Fluid mechanics analyses indicate that complete planarization using capillary force is slow. Therefore, defining the acceptable or critical degree of planarization (DOP[subscript crit]) becomes necessary. Finite difference simulation of the spincoat and post-spin leveling processes was used to determine the planarization time for various topographic and material property combinations. A new material, Si-14, was designed to have ideal planarization characteristics and satisfy SFIL-R processing requirements and was used to validate the models through profilometry and interferometry experiments. During spincoating, minimizing the spin speed generates more planar films, however, this increases the spin time. To rectify this problem, a 2-stage spincoating process -- a first step with high spin speeds to achieve the target thickness quickly and a second step with low spin speeds to improve planarization -- was proposed and experimentally demonstrated. An alternative planarization technique is to generate a reverse-conformal film coating through Marangoni-driven flow. The SFIL process requires the clean separation of a quartz template from a polymer, and the force required to create this separation must be minimized to prevent the generation of defects. Fracture mechanics analyses show that control of the polymer modulus and interfacial fracture energy is the key to minimizing the separation force. Adjusting the crosslinker concentration in the imprint formulation reduces the modulus but has no significant impact on the fracture energy. On the other hand, adding surfactants to the imprint formulation reduces both the modulus and fracture energy. The fracture energy is further decreased by using a nonreactive, liquid surfactant versus a surfactant that reacts with the polymer matrix. Angleresolved X-ray photoelectron spectroscopy (XPS) results indicate that surfactant migration is more effective with a fluorinated surface treatment compared to an untreated quartz or organic surface. However, the fluorinated surface treatment that drives the migration process degrades over multiple imprints. Based on these results, it was concluded that the use of fluorinated surfactants must be accompanied by a surface treatment that is both stable and of a similar energy or polarity to induce migration and to lower the adhesive strength. Mixed-mode fracture affects the separation force, especially if shear stresses are present. Overfilling the templatesubstrate gap causes large amounts of shear stresses during separation; however, this phenomenon can be prevented by controlling the surface energies of the imprint template and substrate.
text
APA, Harvard, Vancouver, ISO, and other styles
22

Bassett, Derek William. "Fluid management in immersion and imprint microlithography." Thesis, 2010. http://hdl.handle.net/2152/ETD-UT-2010-12-2043.

Full text
Abstract:
The important roles of fluid dynamics in immersion lithography (IL) and step-and-flash imprint lithography (S FIL) are analyzed experimentally and theoretically. In IL there are many challenges with managing a fluid droplet between the lens and the wafer, including preventing separation of the fluid droplet from the lens and deposition of small droplets behind the lens. Fluid management is also critical in S FIL because the imprint fluid creates capillary and lubrication forces, both of which are primarily responsible for the dynamics of the template and fluid motion. The fluid flow and shape of the wafer determine how uniform the gap height between the wafer and the template is, and they affect the resistance during the alignment phase. IL was investigated as a methodology to improve laser lithography for making photomasks. The fluid flow in IL was investigated by building a test apparatus to simulate the motion of the fluid droplet during microlithographic production, and using this apparatus to conduct experiments on various immersion fluids and wafer topcoats to determine what instabilities would occur. A theoretical model was used to predict the fluid separation instabilities. Finite element simulations were also used to model the fluid droplet, and these simulations accurately predict the fluid instabilities and quantitatively agreed with the model and experiments. It is shown that the process is viable: capillary forces are sufficient to keep the fluid droplet stable, heating effects due to the laser are negligible, and other concerns such as evaporation and dissolution are manageable. Euler beam theory and the lubrication equation were used to model the bending of an S FIL template and the flow of the fluid between the template and a non-flat wafer. The template filling time, conformance of the template to the wafer, and the alignment phase are investigated with an analytical model and finite element simulations. Analysis and simulations show that uniformity of the residual film thickness and ease of proper alignment depend greatly on the planarity of the wafer, the properties of the template, and the surface tension of the fluid.
text
APA, Harvard, Vancouver, ISO, and other styles
23

Jhurani, Chetan Kumar. "Multiscale modeling using goal-oriented adaptivity and numerical homogenization." 2009. http://hdl.handle.net/2152/6545.

Full text
Abstract:
Modeling of engineering objects with complex heterogeneous material structure at nanoscale level has emerged as an important research problem. In this research, we are interested in multiscale modeling and analysis of mechanical properties of the polymer structures created in the Step and Flash Imprint Lithography (SFIL) process. SFIL is a novel imprint lithography process designed to transfer circuit patterns for fabricating microchips in low-pressure and room-temperature environments. Since the smallest features in SFIL are only a few molecules across, approximating them as a continuum is not completely accurate. Previous research in this subject has dealt with coupling discrete models with continuum hyperelasticity models. The modeling of the post-polymerization step in SFIL involves computing solutions of large nonlinear energy minimization problems with fast spatial variation in material properties. An equilibrium configuration is found by minimizing the energy of this heterogeneous polymeric lattice. Numerical solution of such a molecular statics base model, which is assumed to describe the microstructure completely, is computationally very expensive. This is due to the problem size – on the order of millions of degrees of freedom (DOFs). Rapid variation in material properties, ill-conditioning, nonlinearity, and non-convexity make this problem even more challenging to solve. We devise a method for efficient approximation of the solution. Combining numerical homogenization, adaptive finite element meshes, and goaloriented error estimation, we develop a black-box method for efficient solution of problems with multiple spatial scales. The purpose of this homogenization method is to reduce the number of DOFs, find locally optimal effective material properties, and do goal-oriented mesh refinement. In addition, it smoothes the energy landscape. Traditionally, a finite element mesh is designed after obtaining material properties in different regions. The mesh has to resolve material discontinuities and rapid variations. In our approach, however, we generate a sequence of coarse meshes (possibly 1-irregular), and homogenize material properties on each coarse mesh element using a locally posed constrained convex quadratic optimization problem. This upscaling is done using Moore-Penrose pseudoinverse of the linearized fine-scale element stiffness matrices, and a material independent interpolation operator. This requires solution of a continuous-time Lyapunov equation on each element. Using the adjoint solution, we compute local error estimates in the quantity of interest. The error estimates also drive the automatic mesh adaptivity algorithm. The results show that this method uses orders of magnitude fewer degrees of freedom to give fast and approximate solutions of the original fine-scale problem. Critical to the computational speed of local homogenization is computing Moore-Penrose pseudoinverse of rank-deficient matrices without using Singular Value Decomposition. To this end, we use four algorithms, each having different desirable features. The algorithms are based on Tikhonov regularization, sparse QR factorization, a priori knowledge of the null-space of the matrix, and iterative methods based on proper splittings of matrices. These algorithms can exploit sparsity and thus are fast. Although the homogenization method is designed with a specific molecular statics problem in mind, it is a general method applicable for problems with a given fine mesh that sufficiently resolves the fine-scale material properties. We verify the method using a conductivity problem in 2-D, with chessboard like thermal conductivity pattern, which has a known homogenized conductivity. We analyze other aspects of the homogenization method, for example the choice of norm in which we measure local error, optimum coarse mesh element size for homogenizing SFIL lattices, and the effect of the method chosen for computing the pseudoinverse.
text
APA, Harvard, Vancouver, ISO, and other styles
24

Chu, Yung-Chin, and 朱永欽. "Design and Analysis of Rotary Step-and-Flash Lithography System for Seamless Micro/Nanoimprint Roller Mold Fabrication." Thesis, 2011. http://ndltd.ncl.edu.tw/handle/88720964436249039498.

Full text
APA, Harvard, Vancouver, ISO, and other styles
25

Zweber, Amy Elizabeth. "Enhancement of the lithographic process using supercritical carbon dioxide in the development step." 2006. http://www.lib.ncsu.edu/theses/available/etd-03242007-101023/unrestricted/etd.pdf.

Full text
APA, Harvard, Vancouver, ISO, and other styles
26

Chen, Yung-Pin, and 陳永彬. "Stitching Submicron Periodic Patterns over a Planar Substrate and a Roller by Utilizing Step-and-Align Interference Lithography." Thesis, 2011. http://ndltd.ncl.edu.tw/handle/70754231052777783458.

Full text
Abstract:
博士
國立臺灣大學
光電工程學研究所
99
A Step-and-Align Interference Lithography (SAIL) system is developed for fabricating continuous submicron periodic patterns over a silicon wafer with diameter of 100 mm and a metal roller with radius of curvature 25 mm. By utilizing two-beam interference lithography to expose submicron periodic patterns in a small square area and the position stages with high precision control system to stepwise move or rotate the substrate, the small exposure regions are stitched to be large-area submicron periodic patterns. The SAIL system is composed of two fabrication modes; plane mode and roller mode, which can be used to fabricate and stitch the interference patterns on a planar sub-strate and a roller, respectively. A flip bending mirror is used to control the propagation direction of laser beam, and then the interference patterns exposed in the plane or roller mode can be switched conveniently. The optical module in each mode has three functions; beam stabilization function to trace and stabilize the laser beam’s drifting from the argon ion laser placed on a separate table, beam expansion function to expand a small laser beam to a large-area collimated Gaussian beam, and two-beam interference function to have two beams interfered with equal intensity by splitting the expanded beam via a beamsplitter on the substrate coated with photoresist. To obtain uniform exposure dose distribution over the whole large area after step-wise stitching the small exposure regions, a beam profile is designed to have the unit ex-posure area with designed dose distribution. However, there is no beam shaper to trans-form the laser beam into the designed beam. A metal mask with a square open window set up before the substrate is used to truncate the central region of the expanded Gaussian beam whose intensity distribution is more uniform to be the unit exposure area. The Gaussian intensity distribution is smoother in the small region of the expanded beam, which has large tolerance for the overlapping misalignment of two incident beams. Even though the overlapping misalignment is about 2 mm, the interference contrast in the overlapping area could still be higher than 0.99. The interference patterns with period about 700 nm and 800 nm are stitched suc-cessfully over the wafer and the roller. There are about 90 unit exposure areas stitched over the wafer and 120 unit exposure areas stitched over the roller, which take half an hour and an hour, respectively. The process times are much shorter than those of other fabrication methods for making submicron periodic patterns such as e-beam lithography. Although the reflectance spectra of the fringes in the single interference regions and the overlapping regions vary owing to some disturbances, the connection of the submicron periodic fringes and the continuity of fringes for a long distance are verified by utilizing the OM and the SEM. The one- and two-dimensional patterns with the period smaller than 300 nm can be fabricated in the single interference regions without stitching by uti-lizing the optical interference lithography module.
APA, Harvard, Vancouver, ISO, and other styles
27

Collister, Elizabeth Ann. "Studies of nontraditional high resolution thin film patterning techniques." 2009. http://hdl.handle.net/2152/17295.

Full text
Abstract:
This thesis discusses two patterning techniques: Step and Flash Imprint Lithography, a nanoimprint technique, and patterning thin films utilizing electrohydrodynamic instabilities. Step and Flash Imprint Lithography, SFIL, is promising alternative approach to photolithography. SFIL replicates the relief pattern of a template in a photocurable liquid that has been dispensed on a substrate. The pattern is then crosslinked when the photocurable liquid is exposed to UV light through the template. In order to study the volume change in the created features upon exposure, a stochastic mesoscale model was formulated. This model allows the study of the possibility of defects forming, from under cured etch barrier, or particle contamination of the template. The results showed large defects should not occur regularly until the minimum feature size is below 3 nanometers. The mesoscale model proved to computationally intensive to simulate features of engineering interest. A base multiscale model was formulated to simulate the effects of the densification of the photocurable liquid as well as the effects of the polymerization on the feature integrity. The multiscale model combines a continuum model (compressible Mooney-Rivlin) coupled to the mesoscale code using the Arlequin method. The multiscale model lays the framework that may be adapted to the study of other SFIL processes like template release. Patterning thin films utilizing electrohydrodynamic instabilities allows for the creation of periodic arrays of pillar like features. These pillars form due to the electric field destabilizing the thin film. Prior work has focused on utilizing polymeric films heated above their glass transition temperatures. In order to decrease the process time in the pillar formation process, work was done to study photocurable systems. The systems which proved favorable to the pillar creation process were the thiol-ene system as well as the maleimide systems. Further work was done on controlling the packing and ordering of the formed pillar arrays by using patterned templates. The result of these studies is that control was only able to be achieved to the third generation of pillars formed due to the inability to fully control the gap over the entire active area.
text
APA, Harvard, Vancouver, ISO, and other styles
28

Chao, Huang-Lin. "Electromigration enhanced kinetics of Cu-Sn intermetallic compounds in Pb free solder joints and Cu low-k dual damascene processing using step and flash imprint lithography." 2009. http://hdl.handle.net/2152/7607.

Full text
Abstract:
This dissertation constitutes two major sections. In the first major section, a kinetic analysis was established to investigate the electromigration (EM), enhanced intermetallic compound (IMC) growth and void formation for Sn-based Pb-free solder joints to Cu under bump metallization (UBM). The model takes into account the interfacial intermetallic reaction, Cu-Sn interdiffusion, and current stressing. A new approach was developed to derive atomic diffusivities and effective charge numbers based on Simulated Annealing (SA) in conjunction with the kinetic model. The finite difference (FD) kinetic model based on this approach accurately predicted the intermetallic compound growth when compared to empirical observation. The ultimate electromigration failure of the solder joints was caused by extensive void formation at the intermetallic interface. The void formation mechanism was analyzed by modeling the vacancy transport under electromigration. The effects of current density and Cu diffusivity in Sn solder were also investigated with the kinetic model. The second major section describes the integration of Step and Flash Imprint Lithography (S-FIL®) into an industry standard Cu/low-k dual damascene process. The yield on a Back End Of the Line (BEOL) test vehicle that contains standard test structures such as via chains with 120 nm vias was established by electrical tests. S-FIL shows promise as a cost effective solution to patterning sub 45 nm features and is capable of simultaneously patterning two levels of interconnect structures, which provides a low cost BEOL process. The critical processing step in the integration is the reactive ion etching (RIE) process that transfers the multilevel patterns to the inter-level dielectrics (ILD). An in-situ, multistep etch process was developed that gives excellent pattern structures in two industry standard Chemical Vapor Deposited (CVD) low-k dielectrics. The etch process showed excellent pattern fidelity and a wide process window. Electrical testing was conducted on the test vehicle to show that this process renders high yield and consistent via resistance. Discussions of the failure behaviors that are characteristic to the use of S-FIL are provided.
text
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography