Journal articles on the topic 'SiNx film'

To see the other types of publications on this topic, follow the link: SiNx film.

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 journal articles for your research on the topic 'SiNx film.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse journal articles on a wide variety of disciplines and organise your bibliography correctly.

1

Lin, G. P., Po Cheng Kuo, P. L. Lin, Y. H. Fang, and K. T. Huang. "Magnetic Properties of TbCo/(SiNx/Co)n Films." Advanced Materials Research 47-50 (June 2008): 785–88. http://dx.doi.org/10.4028/www.scientific.net/amr.47-50.785.

Full text
Abstract:
The Tb32Co68/(SiNx/Co)n films (n = 0~3) were prepared by magnetron sputtering. The magnetic anisotropy of all Tb32Co68/(SiNx/Co)n films are perpendicular to the film plane. It is found that the saturation magnetization (Ms) and perpendicular coercivity (Hc⊥ ) of the Tb32Co68/(SiNx/Co)3 film are 263 emu/cm3 and 3592 Oe, respectively. This film appears to be a promising material as a heat-assisted magnetic recording (HAMR) medium. The cross-sectional high resolution transmission electron microscope (HRTEM) images show that the interface roughness between the (SiNx/Co)n layers and TbCo layer increases as n is increased. The rough surface provides more obstacles and pinning sites that hinder the motion of the domain walls at interface between the (SiNx/Co)n layers and TbCo layer. Therefore, the Hc values are profoundly influenced by the interface roughness.
APA, Harvard, Vancouver, ISO, and other styles
2

Dore, Jonathon, Rhett Evans, Bonne D. Eggleston, Sergey Varlamov, and Martin A. Green. "Intermediate Layers for Thin-Film Polycrystalline Silicon Solar Cells on Glass Formed by Diode Laser Crystallization." MRS Proceedings 1426 (2012): 63–68. http://dx.doi.org/10.1557/opl.2012.866.

Full text
Abstract:
ABSTRACTIntermediate layers between silicon and borosilicate glass are investigated for compatibility with a diode laser crystallization technique for fabrication of thin-film polycrystalline silicon solar cells. SiCx, SiNx and SiOx layers or multilayer stacks of these materials have allowed silicon films of 10μm thickness to be successfully crystallized by diode laser irradiation without dewetting, with each option offering different advantages. SiCx allows the most robust crystallization process, while SiOx is the best barrier to contamination and the most stable layer. SiNx offers the best anti-reflection coating for superstrate configured solar cells. Presently, best device performance is achieved with a SiOxintermediate layer with cells achieving up to ∼540 mV open-circuit voltage.
APA, Harvard, Vancouver, ISO, and other styles
3

Saladukhin, Ihar, Gregory Abadias, Vladimir Uglov, Sergey Zlotski, Arno Janse van Vuuren, and Jacques Herman O’Connell. "Structural Properties and Oxidation Resistance of ZrN/SiNx, CrN/SiNx and AlN/SiNx Multilayered Films Deposited by Magnetron Sputtering Technique." Coatings 10, no. 2 (February 7, 2020): 149. http://dx.doi.org/10.3390/coatings10020149.

Full text
Abstract:
In the present work, the structure, stress state and phase composition of MeN/SiNx (Me = Zr, Cr, Al) multilayered films with the thickness of elementary layers in nanoscale range, as well as their stability to high temperature oxidation, were studied. Monolithic (reference) and multilayered films were deposited on Si substrates at the temperatures of 300 °C (ZrN/SiNx and AlN/SiNx systems) or 450 °C (CrN/SiNx) by reactive magnetron sputtering. The thickness ratios of MeN to SiNx were 5 nm/2 nm, 5 nm/5 nm, 5 nm/10 nm and 2 nm/5 nm. Transmission electron microscopy (TEM), X-ray Reflectivity (XRR) and X-ray Diffraction (XRD) testified to the uniform alternation of MeN and SiNx layers with sharp interlayer boundaries. It was observed that MeN sublayers have a nanocrystalline structure with (001) preferred orientation at 5 nm, but are X-ray amorphous at 2 nm, while SiNx sublayers are always X-ray amorphous. The stability of the coatings to oxidation was investigated by in situ XRD analysis (at the temperature range of 400–950 °C) along with the methods of wavelength-dispersive X-ray spectroscopy (WDS) and scanning electron microscopy (SEM) after air annealing procedure. Reference ZrN and CrN films started to oxidize at the temperatures of 550 and 700 °C, respectively, while the AlN reference film was thermally stable up to 950 °C. Compared to reference monolithic films, MeN/SiNx multilayers have an improved oxidation resistance (onset of oxidation is shifted by more than 200 °C), and the performance is enhanced with increasing fraction of SiNx layer thickness. Overall, CrN/SiNx and AlN/SiNx multilayered films are characterized by noticeably higher resistance to oxidation as compared to ZrN/SiNx multilayers, the best performance being obtained for CrN/SiNx and AlN/SiNx with 5 nm/5 nm and 5 nm/10 nm periods, which remain stable at least up to 950 °C.
APA, Harvard, Vancouver, ISO, and other styles
4

Shu, Jonathan B., Susan B. Clyburn, Thomas E. Mates, and Shefford P. Baker. "Effect of oxygen on the thermomechanical behavior of passivated Cu thin films." Journal of Materials Research 18, no. 9 (September 2003): 2122–34. http://dx.doi.org/10.1557/jmr.2003.0298.

Full text
Abstract:
The thermomechanical behavior of Cu thin films, 600–1125 nm thick and encapsulated between SiNx barrier and SiNx or AlNx passivation layers on silicon substrates, was studied during thermal cycling between room temperature and 400 or 500 °C using the substrate curvature method. Films were prepared with varying oxygen contents, and the distribution of oxygen through the thickness of selected films was studied before and after thermal cycling using secondary ion mass spectrometry. Large variations in the thermomechanical behavior with oxygen content were found and correlated with segregation of oxygen to the film/barrier and film/passivation interfaces. These variations are thought to be due to recovery of stored misfit dislocation energy, which is, in turn, controlled by oxygen in the film. Effects of oxygen on film deformation through variations in interfacial adhesion and diffusion-induced dislocation glide are considered.
APA, Harvard, Vancouver, ISO, and other styles
5

Zhang, Chi, Majiaqi Wu, Pengchang Wang, Maoliang Jian, Jianhua Zhang, and Lianqiao Yang. "Stability of SiNx Prepared by Plasma-Enhanced Chemical Vapor Deposition at Low Temperature." Nanomaterials 11, no. 12 (December 11, 2021): 3363. http://dx.doi.org/10.3390/nano11123363.

Full text
Abstract:
In this paper, the environmental stability of silicon nitride (SiNx) films deposited at 80 °C by plasma-enhanced chemical vapor deposition was studied systematically. X-ray photoelectron spectroscopy and Fourier transform infrared reflection were used to analyze the element content and atomic bond structure of the amorphous SiNx films. Variation of mechanical and optical properties were also evaluated. It is found that SiNx deposited at low temperature is easily oxidized, especially at elevated temperature and moisture. The hardness and elastic modulus did not change significantly with the increase of oxidation. The changes of the surface morphology, transmittance, and fracture extensibility are negligible. Finally, it is determined that SiNx films deposited at low-temperature with proper processing parameters are suitable for thin-film encapsulation of flexible devices.
APA, Harvard, Vancouver, ISO, and other styles
6

Enisherlova, Kira L., Lev A. Seidman, Ella M. Temper, and Yuliy A. Kontsevoy. "Effect of PECVD SiNx deposition process parameters on electrical properties of SiNx/AlGaN/GaN structures." Modern Electronic Materials 7, no. 2 (June 30, 2021): 63–71. http://dx.doi.org/10.3897/j.moem.7.2.73293.

Full text
Abstract:
The effect of parameters of plasma enhanced chemical vapor deposition (PECVD) processes for SiNx film fabrication on the electrical parameters of dielectric/АlGaN/GaN structures has been studied. The effect of growing film composition, additional heterostructure surface treatment with nitrogen plasma before dielectric deposition and HF biasing during treatment on the parameters of the С–V and I–V curves of SiNx/АlGaN/GaN structures has been analyzed. We show that films with nitrogen to silicon concentration ratios of 60 and 40% and a high oxygen content exhibit a decrease in the positive fixed charge in the structures although the I–V curves of the structures exhibit current oscillations. Information has been reported on the effect of PECVD process mode on current oscillation parameters, e.g. period and amplitude, and length of I–V curve section in which oscillations occur. Possible explanation of these oscillations has been suggested. Additional nitrogen plasma treatment of heterostructure surface before monosilane supply to the chamber changes the magnitude and sign of fixed charge and reduces the free carrier concentration in the 2D gas channel of SiNx/АlGaN/GaN heterostructures. Experimental evidence has been provided for the effect of PECVD process parameters and surface preparation on the electrical parameters of the heterostructures grown.
APA, Harvard, Vancouver, ISO, and other styles
7

Zhang, Sam, Deen Sun, and Xianting Zeng. "Oxidation of Ni-toughened nc-TiN/a-SiNx nanocomposite thin films." Journal of Materials Research 20, no. 10 (October 2005): 2754–62. http://dx.doi.org/10.1557/jmr.2005.0357.

Full text
Abstract:
Oxidation behavior of Ni-toughened reactively sputtered composite thin films of nanocrystalline TiN and amorphous SiNx [denoted as nc-TiN/a-SiNx(Ni)] was explored to understand the oxidation mechanism. The films were deposited on silicon substrate using a magnetron sputtering technique. Oxidation was carried out from 450 °C up to 1000 °C. The nature of the oxidation was determined using x-ray photoelectron spectroscopy. The microstructure of the oxidized films was studied using grazing incidence x-ray diffraction. The topography was characterized using atomic force microscopy. It was determined that the oxidation of the nc-TiN/a-SiNx(Ni) thin film proceeds primarily through a diffusion process, in which nickel atoms diffuse outward and oxygen ions inward. The oxidation takes place by progressive replacement of nitrogen with diffused oxygen. Five regions were identified in the oxidized layer from surface into the film. For films doped with 2.1 at.% Ni, a threshold temperature of 850 °C was determined, below which, excellent oxidation resistance prevails but above which, oxidation takes place at exponential rate, accompanied by abrupt increase of surface roughness.
APA, Harvard, Vancouver, ISO, and other styles
8

Yong, Sang Heon, Hyung June Ahn, Sun Jung Kim, Jang Soon Park, Sungyool Kwon, Sung Min Cho, Donggeun Jung, and Heeyeop Chae. "Room Temperature Deposition of SiNx and Plasma Polymer Layers for Flexible Multilayer Barrier Films by Plasma Enhanced Chemical Vapor Deposition Processes." Nano 13, no. 07 (July 2018): 1850082. http://dx.doi.org/10.1142/s1793292018500820.

Full text
Abstract:
In this work, silicon nitride (SiNx) films were deposited on plastic substrates at room temperature close to 30[Formula: see text]C on polyethylenenaphthalate (PEN) films in an inductively coupled plasma (ICP) reactor with trisilylamine (TSA, N(SiH[Formula: see text]) precursor and ammonia for flexible moisture barrier films. Moisture barrier property is improved as SiNx layer gets thicker, but cracks are found at thicker films above 300[Formula: see text]nm or more. And the barrier performance of the thicker layers is degraded significantly. In order to improve both flexibility and barrier property, plasma polymer (PP) layers were deposited at room temperature and introduced between the SiNx layers. The water vapor transmission rate (WVTR) of a single SiNx film, [Formula: see text][Formula: see text]g/(m[Formula: see text]), is reduced to [Formula: see text][Formula: see text]g/(m[Formula: see text]) with SiNx/PP/SiNx/PP/SiNx alternating five-layer structure. After 1000 times bending in 1.5[Formula: see text]cm of bending radius, the WVTR increases by 41% with five-layer structure, while that of single-layer structure increases by 69%. This work demonstrated that the SiNx and PP layers can be deposited at room temperature for flexible moisture barrier films.
APA, Harvard, Vancouver, ISO, and other styles
9

Hegedüs, Nikolett, Riku Lovics, Miklós Serényi, Zsolt Zolnai, Péter Petrik, Judit Mihály, Zsolt Fogarassy, Csaba Balázsi, and Katalin Balázsi. "Examination of the Hydrogen Incorporation into Radio Frequency-Sputtered Hydrogenated SiNx Thin Films." Coatings 11, no. 1 (January 6, 2021): 54. http://dx.doi.org/10.3390/coatings11010054.

Full text
Abstract:
In this work, amorphous hydrogen-free silicon nitride (a-SiNx) and amorphous hydrogenated silicon nitride (a-SiNx:H) films were deposited by radio frequency (RF) sputtering applying various amounts of hydrogen gas. Structural and optical properties were investigated as a function of hydrogen concentration. The refractive index of 1.96 was characteristic for hydrogen-free SiNx thin film and with increasing H2 flow it decreased to 1.89. The hydrogenation during the sputtering process affected the porosity of the thin film compared with hydrogen-free SiNx. A higher porosity is consistent with a lower refractive index. Fourier-transform infrared spectroscopy (FTIR) confirmed the presence of 4 at.% of bounded hydrogen, while elastic recoil detection analysis (ERDA) confirmed that 6 at.% hydrogen was incorporated during the growing mechanism. The molecular form of hydrogen was released at a temperature of ~65 °C from the film after annealing, while the blisters with 100 nm diameter were created on the thin film surface. The low activation energy deduced from the Arrhenius method indicated the diffusion of hydrogen molecules.
APA, Harvard, Vancouver, ISO, and other styles
10

Dang, Nhat, Zhao-Ying Wang, Ti-Yuan Wu, Tra Nguyen, and Ming-Tzer Lin. "Measurement of Effects of Different Substrates on the Mechanical Properties of Submicron Titanium Nickel Shape Memory Alloy Thin Film Using the Bulge Test." Micromachines 12, no. 1 (January 15, 2021): 85. http://dx.doi.org/10.3390/mi12010085.

Full text
Abstract:
This study investigated the effects of different substrates on the mechanical properties of Ti-60at%Ni shape memory alloys (SMA). Two types of samples were prepared for this experiment: (1) a Ti-60at%Ni deposited on SiNx, and (2) a Ti-60at%Ni deposited on SiNx/Cr; both had a 600 nm thick film of Ti-60at%Ni. Deposition was done using the physical vapor deposition (PVD) process, and the microstructural changes and crystallization phase changes were observed through scanning electron microscopy (SEM) and X-ray diffraction (XRD). The results showed that the TiNi thin film with a Cr adhesion layer had better mechanical properties. The bulge test showed that TiNi thin film with a Cr adhesion had a higher Young’s modulus and lower residual stress. From the thermal cycling experiment, it was found that the Cr adhesion layer buffered the mismatch between TiNi and SiNx. Additionally, the thermal cycling test was also used to measure the thermal expansion coefficient of the films, and the fatigue test showed that the Cr layer significantly improved the fatigue resistance of the TiNi film.
APA, Harvard, Vancouver, ISO, and other styles
11

Ma, Hong-Ping, Hong-Liang Lu, Jia-He Yang, Xiao-Xi Li, Tao Wang, Wei Huang, Guang-Jie Yuan, Fadei Komarov, and David Zhang. "Measurements of Microstructural, Chemical, Optical, and Electrical Properties of Silicon-Oxygen-Nitrogen Films Prepared by Plasma-Enhanced Atomic Layer Deposition." Nanomaterials 8, no. 12 (December 5, 2018): 1008. http://dx.doi.org/10.3390/nano8121008.

Full text
Abstract:
In this study, silicon nitride (SiNx) thin films with different oxygen concentration (i.e., SiON film) were precisely deposited by plasma enhanced atomic layer deposition on Si (100) substrates. Thus, the effect of oxygen concentration on film properties is able to be comparatively studied and various valuable results are obtained. In detail, x-ray reflectivity, x-ray photoelectron spectroscopy, atomic force microscopy, and spectroscopic ellipsometry are used to systematically characterize the microstructural, optical, and electrical properties of SiON film. The experimental results indicate that the surface roughness increases from 0.13 to 0.2 nm as the oxygen concentration decreases. The refractive index of the SiON film reveals an increase from 1.55 to 1.86 with decreasing oxygen concentration. Accordingly, the band-gap energy of these films determined by oxygen 1s-peak analysis decreases from 6.2 to 4.8 eV. Moreover, the I-V tests demonstrate that the film exhibits lower leakage current and better insulation for higher oxygen concentration in film. These results indicate that oxygen affects microstructural, optical, and electrical properties of the prepared SiNx film.
APA, Harvard, Vancouver, ISO, and other styles
12

Koybasi, Ozhan, Ørnulf Nordseth, Trinh Tran, Marco Povoli, Mauro Rajteri, Carlo Pepe, Eivind Bardalen, et al. "High Performance Predictable Quantum Efficient Detector Based on Induced-Junction Photodiodes Passivated with SiO2/SiNx." Sensors 21, no. 23 (November 24, 2021): 7807. http://dx.doi.org/10.3390/s21237807.

Full text
Abstract:
We performed a systematic study involving simulation and experimental techniques to develop induced-junction silicon photodetectors passivated with thermally grown SiO2 and plasma-enhanced chemical vapor deposited (PECVD) SiNx thin films that show a record high quantum efficiency. We investigated PECVD SiNx passivation and optimized the film deposition conditions to minimize the recombination losses at the silicon–dielectric interface as well as optical losses. Depositions with varied process parameters were carried out on test samples, followed by measurements of minority carrier lifetime, fixed charge density, and optical absorbance and reflectance. Subsequently, the surface recombination velocity, which is the limiting factor for internal quantum deficiency (IQD), was obtained for different film depositions via 2D simulations where the measured effective lifetime, fixed charge density, and substrate parameters were used as input. The quantum deficiency of induced-junction photodiodes that would be fabricated with a surface passivation of given characteristics was then estimated using improved 3D simulation models. A batch of induced-junction photodiodes was fabricated based on the passivation optimizations performed on test samples and predictions of simulations. Photodiodes passivated with PECVD SiNx film as well as with a stack of thermally grown SiO2 and PECVD SiNx films were fabricated. The photodiodes were assembled as light-trap detector with 7-reflections and their efficiency was tested with respect to a reference Predictable Quantum Efficient Detector (PQED) of known external quantum deficiency. The preliminary measurement results show that PQEDs based on our improved photodiodes passivated with stack of SiO2/SiNx have negligible quantum deficiencies with IQDs down to 1 ppm within 30 ppm measurement uncertainty.
APA, Harvard, Vancouver, ISO, and other styles
13

Duan, Chun Yan, Xiao Xia Zhao, Chang Ji Hu, Dong Liang Lu, and Hui Shen. "Preparation of Ag Nanoparticles Arrays for Silicon Solar Cells." Advanced Materials Research 805-806 (September 2013): 136–42. http://dx.doi.org/10.4028/www.scientific.net/amr.805-806.136.

Full text
Abstract:
Ag nanoparticles arrays were fabricated by self-assembly method to accommodate manufacturing process of traditional silicon solar cells. Surface morphologies of films (5-27.5 nm) after annealing treatment with 260 °C and 400 °C were analyzed. The results showed that annealing temperature has important impact on self-assembled nanoparticles arrays. The tuning function of SiNx dielectric film was also analyzed. The absorption peak generates a redshift with the maximum range about 100 nm in the absorption spectrum, and the peak widths become wider with the increase of thickness of SiNx dielectric film for the same particle size distribution of Ag nanoparticles arrays.
APA, Harvard, Vancouver, ISO, and other styles
14

Seydman, L. A., Yu A. Kontsevoy, K. L. Enisherlova, and S. V. Minnebaev. "PECVD OBTAINED SiNx FILMS FOR THE PASSIVATION OF AlGaN/GaN HEM." Electronic engineering Series 2 Semiconductor devices 258, no. 3 (2020): 22–33. http://dx.doi.org/10.36845/2073-8250-2020-258-3-22-33.

Full text
Abstract:
In this paper we study the process of using PECVD to obtain SiNx films, which serve as a dielectric passivation layers for AlGaN/GaN structures. Aim of this study was to determine the methods of controlling the properties of the films. The deposition of SiNx films was carried out in a Plasmalab System 100 ICP180 featuring an ICP source, which makes possible to form a high-density plasma without a significant increase in ion bombardment of substrates, and to use nitrogen instead of ammonia as one of the precursors, which reduces the hydrogen concentration in the film. The deposition of SiNx films was carried out in different modes in order to determine the influence of various deposition parameters on the shift of C-V characteristics, and to either eliminate the shift or make it positive. It was shown that this goal can be achieved by significantly increasing the nitrogen flow into the chamber during the deposition of SiNx films.
APA, Harvard, Vancouver, ISO, and other styles
15

Chang, Yu-Chen, Ying-Chung Chen, Bing-Rui Li, Wei-Che Shih, Jyun-Min Lin, Wei-Tsai Chang, and Chien-Chuan Cheng. "Effects of Thermal Annealing on the Characteristics of High Frequency FBAR Devices." Coatings 11, no. 4 (March 30, 2021): 397. http://dx.doi.org/10.3390/coatings11040397.

Full text
Abstract:
In this study, piezoelectric zinc oxide (ZnO) thin film was deposited on the Pt/Ti/SiNx/Si substrate to construct the FBAR device. The Pt/Ti multilayers were deposited on SiNx/Si as the bottom electrode and the Al thin film was deposited on the ZnO piezoelectric layer as the top electrode by a DC sputtering system. The ZnO thin film was deposited onto the Pt thin film by a radio frequency (RF) magnetron sputtering system. The cavity on back side for acoustic reflection of the FBAR device was achieved by KOH solution and reactive ion etching (RIE) processes. The crystalline structures and surface morphologies of the films were analyzed by X-ray diffraction (XRD) and field emission scanning electron microscope (FE-SEM). The optimized as-deposited ZnO thin films with preferred (002)-orientation were obtained under the sputtering power of 80 W and sputtering pressure of 20 mTorr. The crystalline characteristics of ZnO thin films and the frequency responses of the FBAR devices can be improved by using the rapid thermal annealing (RTA) process. The optimized annealing temperature and annealing time are 400 °C and 10 min, respectively. Finally, the FBAR devices with structure of Al/ZnO/Pt/Ti/SiNx/Si were fabricated. The frequency responses showed that the return loss of the FBAR device with RTA annealing was improved from −24.07 to −34.66 dB, and the electromechanical coupling coefficient (kt2) was improved from 1.73% to 3.02% with the resonance frequency of around 3.4 GHz.
APA, Harvard, Vancouver, ISO, and other styles
16

Dedkova A. A., Glagolev P. Y., Gusev E. E., Djuzhev N. A., Kireev V. Y., Lychev S. A., and Tovarnov D. A. "Peculiarities of deformation of round thin-film membranes and experimental determination of their effective characteristics." Technical Physics 92, no. 13 (2022): 2033. http://dx.doi.org/10.21883/tp.2022.13.52218.121-21.

Full text
Abstract:
The features of thin-film membranes, which are formed above round holes in silicon substrates using the Bosch-process are considered. The membrane has a complex shape due to the presence of the stress state of the initial films. The analysis of the dependence of the membrane deflection w on the supplied overpressure P is used to calculate the mechanical characteristics of the membranes. In this case, it is necessary to determine directly on the membrane its diameter, the thickness of the constituent layers, the change in the topography of the membrane surface over its entire area as the overpressure increases. Determination of the membrane diameter and the thicknesses of the constituent layers is shown by the example of p-Si*/SiNx/SiO2 and SiNx/SiO2/SiNx/SiO2 membranes. We used spectral ellipsometry, energy-dispersive X-ray spectroscopy, optical profilometry, optical microscopy. The influence of the peculiarities of the fixing conditions on the stress-strain state of membranes is shown, and the assessment is carried out by means of numerical modeling. A technique has been developed for measuring and calculating the mechanical characteristics of membranes that have an initial deflection. The calculation result is shown on the example of a membrane with an initial deflection of 2 μm --- SiNx/SiO2/SiNx/SiO2 and a membrane with an initial deflection of 30 μm --- Al/SiO2/Al. Keywords: stress, bulging method, films, thin-layer coating, film thickness, membrane, pressure blister test, residual stress, microelectromechanical systems, MEMS, silicon substrate, large deformations, strain, deflections, circular membrane, bulge testing.
APA, Harvard, Vancouver, ISO, and other styles
17

Chen, Chao Nan, Jung Jie Huang, Gwo Mei Wu, and How Wen Chien. "Taper Angle of Silicon Nitride Thin Film Control by Laser Direct Pattern for Transistors Fabrication." Applied Mechanics and Materials 284-287 (January 2013): 225–29. http://dx.doi.org/10.4028/www.scientific.net/amm.284-287.225.

Full text
Abstract:
Silicon nitride (SiNx), an important material used as a dielectric layer and passivation layer in thin film transistor liquid crystal display (TFT LCD) was patterned by a non-lithographic process. SiNx was deposited by plasma enhanced chemical vapor deposition (PECVD) on glass substrate. Laser photoablation can effectively pattern 5 µm diameter with 200 nm depth hole in SiNx thin films with laser photoablation. The threshold remove fluence is 1350 mJ/cm2 with 1 laser irradiation shot. The contact-hole taper angle as a function of the laser irradiation shot number. The taper angle increased with increasing the laser irradiation shot number. The contact-hole taper angle etched profile was successfully controlled by vary the laser irradiation shot number.
APA, Harvard, Vancouver, ISO, and other styles
18

Fuji, Yusuke, Shiro Shimada, and Hajime Kiyono. "Preparation and Wear Resistance of TiBC, TiBN, SiNX Single Layer Film and TiBC-SiNX and TiBN-SiNX Double Layer Film by Thermal Plasma CVD." Journal of the Japan Society of Powder and Powder Metallurgy 54, no. 4 (2007): 287–93. http://dx.doi.org/10.2497/jjspm.54.287.

Full text
APA, Harvard, Vancouver, ISO, and other styles
19

Jung, Sungwook, I. O. Parm, Kyung Soo Jang, Dae-Ho Park, Byeong-Hyeok Sohn, Jin Chul Jung, Wang Cheol Zin, Suk-Ho Choi, S. K. Dhungel, and J. Yi. "Fabrication of Nanostructure and Formation of Nanocrystal for Non-Volatile Memory." Journal of Nanoscience and Nanotechnology 6, no. 11 (November 1, 2006): 3652–56. http://dx.doi.org/10.1166/jnn.2006.075.

Full text
Abstract:
In this work, we have demonstrated that the nanocrystal created by combining the self-assembled block copolymer thin film with regular semiconductor processing can be applicable to non-volatile memory device with increased charge storage capacity over planar structures. Self-assembled block copolymer thin film for nanostructures with critical dimensions below photolithographic resolution limits has been used during all experiments. Nanoporous thin film from PS-b-PMMA diblock copolymer thin film with selective removal of PMMA domains was used to fabricate nanostructure and nanocrystal. We have also reported about surface morphologies and electrical properties of the nano-needle structure formed by RIE technique. The details of nanoscale pattern of the very uniform arrays using RIE are presented. We fabricated different surface structure of nanoscale using block copolymer. We also deposited Si-rich SiNx layer using ICP-CVD on the silicon surface of nanostructure. The deposited films were studied after annealing. PL studies demonstrated nanocrystal in Si-rich SiNx film on nanostructure of silicon.
APA, Harvard, Vancouver, ISO, and other styles
20

Tikana, L., M. Pohl, A. Zösch, W. Zahn, and W. Wuttke. "SiNx-Submicrometer Coatings: Optimization of Film Properties." Advanced Engineering Materials 2, no. 1-2 (February 2000): 53–56. http://dx.doi.org/10.1002/(sici)1527-2648(200002)2:1/2<53::aid-adem53>3.0.co;2-f.

Full text
APA, Harvard, Vancouver, ISO, and other styles
21

Ma, Xiang Yang, Li Ming Fu, and De Ren Yang. "Nitrogen Enhanced Oxygen Precipitation in Czochralski Silicon Wafers Coated with Silicon Nitride Films." Solid State Phenomena 178-179 (August 2011): 249–52. http://dx.doi.org/10.4028/www.scientific.net/ssp.178-179.249.

Full text
Abstract:
Oxygen precipitation (OP) behaviors were investigated for Czochralski (Cz) silicon wafers, which were coated with silicon nitride (SiNx) films or not, subjected to two-step anneal of 800C/4 h+1000°C/16 h following rapid thermal processing (RTP) at different temperatures ranging from 1150 to 1250C for 50 s. It was found that OP in the Cz silicon wafers coated with SiNx films was stronger in each case. This was because that nitrogen atoms diffused into bulk of Cz silicon wafer from the surface coated SiNx film during the high temperature RTP. Furthermore, it was proved that the RTP lamp irradiation facilitated the in-diffusion of nitrogen atoms, which was most likely due to that the ultraviolet light enhanced the breakage of silicon-nitrogen bonds.
APA, Harvard, Vancouver, ISO, and other styles
22

Chen, Bitao, Yingke Zhang, Qiuping Ouyang, Fei Chen, Xinghua Zhan, and Wei Gao. "The SiNx films process research by plasma-enhanced chemical vapor deposition in crystalline silicon solar cells." International Journal of Modern Physics B 31, no. 16-19 (July 26, 2017): 1744101. http://dx.doi.org/10.1142/s021797921744101x.

Full text
Abstract:
SiNx thin film has been widely used in crystalline silicon solar cell production because of the good anti-reflection and passivation effect. We can effectively optimize the cells performance by plasma-enhanced chemical vapor deposition (PECVD) method to change deposition conditions such as temperature, gas flow ratio, etc. In this paper, we deposit a new layer of SiNx thin film on the basis of double-layers process. By changing the process parameters, the compactness of thin films is improved effectively. The NH3passivation technology is augmented in a creative way, which improves the minority carrier lifetime. In sight of this, a significant increase is generated in the photoelectric performance of crystalline silicon solar cell.
APA, Harvard, Vancouver, ISO, and other styles
23

Wi, Seong Ju, Yong Ju Jang, Dong Gi Lee, Seon Yong Kim, and Jinho Ahn. "Investigating the Degradation of EUV Transmittance of an EUV Pellicle Membrane." Membranes 13, no. 1 (December 21, 2022): 5. http://dx.doi.org/10.3390/membranes13010005.

Full text
Abstract:
The extreme ultraviolet (EUV) pellicle is a freestanding membrane that protects EUV masks from particle contamination during EUV exposure. Although a high EUV transmittance of the pellicle is required to minimize the loss of throughput, the degradation of EUV transmittance during the extended exposure of the pellicle has been recently reported. This may adversely affect the throughput of the lithography process. However, the cause of this phenomenon has not yet been clarified. Therefore, we investigated the cause of the degradation in the EUV transmittance by observing the compositional change when the Ru/SiNx pellicle composite was heated in an emulated EUV scanner environment. The Ru thin film that was deposited at high pressure had more void networks but was not oxidized, whereas the SiNx thin film was oxidized after heating. This was because the void network in the Ru thin film served as a preferential diffusion path for oxygen and caused oxidation of the SiNx thin film. It was confirmed that the degradation of the EUV transmittance was due to the oxidation of SiNx. The results verified the effect of diffusivity in the thin film due to the void network on oxidation and EUV transmittance.
APA, Harvard, Vancouver, ISO, and other styles
24

Seo, Jong Hyun, Jae Hong Jeon, and Hee Hwan Choe. "Prevention of Thin Film Failures for PECVD Amorphous-Si on Plastic Substrate." Solid State Phenomena 124-126 (June 2007): 387–90. http://dx.doi.org/10.4028/www.scientific.net/ssp.124-126.387.

Full text
Abstract:
Amorphous silicon thin films were deposited below 160oC on PES plastic films using PECVD. After thin film deposition using PECVD, thin film failures such as film delamination and cracking often occurred. For successful growth of thin films (about 2000 Å) without their failures, it is necessary to solve the critical problem related to the internal compressive stress (some GPa) leading to delamination at a threshold thickness value of the films. The Griffith’s theory explains the failure process by looking at the excess of elastic energy inside the film, which overcomes the cohesive energy between film and substrate. In this work, reducing a-Si layer film thickness and optimizing a barrier SiNx layer have produced stable a-Si films at 150oC, over PES substrates.
APA, Harvard, Vancouver, ISO, and other styles
25

Kang, Myoung-Jin, Hyun-Seop Kim, Ho-Young Cha, and Kwang-Seok Seo. "Development of Catalytic-CVD SiNx Passivation Process for AlGaN/GaN-on-Si HEMTs." Crystals 10, no. 9 (September 21, 2020): 842. http://dx.doi.org/10.3390/cryst10090842.

Full text
Abstract:
We optimized a silicon nitride (SiNx) passivation process using a catalytic-chemical vapor deposition (Cat-CVD) system to suppress the current collapse phenomenon of AlGaN/GaN-on-Si high electron mobility transistors (HEMTs). The optimized Cat-CVD SiNx film exhibited a high film density of 2.7 g/cm3 with a low wet etch rate (buffered oxide etchant (BOE) 10:1) of 2 nm/min and a breakdown field of 8.2 MV/cm. The AlGaN/GaN-on-Si HEMT fabricated by the optimized Cat-CVD SiNx passivation process, which had a gate length of 1.5 μm and a source-to-drain distance of 6 μm, exhibited the maximum drain current density of 670 mA/mm and the maximum transconductance of 162 mS/mm with negligible hysteresis. We found that the optimized SiNx film had positive charges, which were responsible for suppressing the current collapse phenomenon.
APA, Harvard, Vancouver, ISO, and other styles
26

KOBAYASHI, Takahiro, Naoto MATSUO, Akira HEYA, and Shin YOKOYAMA. "Improvement of Hump Phenomenon of Thin-Film Transistor by SiNX Film." IEICE Transactions on Electronics E97.C, no. 11 (2014): 1112–16. http://dx.doi.org/10.1587/transele.e97.c.1112.

Full text
APA, Harvard, Vancouver, ISO, and other styles
27

Dedkova A.A. and Djuzhev N.A. "Investigation of the real shape changes of round thin-film membranes during the bulge testing." Technical Physics 92, no. 8 (2022): 1067. http://dx.doi.org/10.21883/tp.2022.08.54575.86-22.

Full text
Abstract:
We discuss the study of the sphericity of the real shape of round thin-film membranes when it changes during the bulge testing. Membrane structures: SiNx/SiO2/SiNx/SiO2, pSi*/SiNx/SiO2, Al, etc. We described the technique for determining the areas of deviation of the membrane surface shape from a spherical one, estimating the magnitude and peculiarities of the distribution of the radius of curvature along the membrane diameter. It is shown that the shape of the membranes differs from spherical closer to the edge (perimeter), and in many cases also to the area of the top (center) of the membrane. A trend was found: an increase in the radius of curvature as it approaches the center of the membrane. Keywords: thin films, membrane, mechanical characteristics, mechanical stresses, deformation, deflection, strain, microelectromechanical systems, MEMS, circular membrane, silicon substrate, optical profilometry, overpressure, bulge testing, bulging method, surface, topography, radius of curvature, curvature.
APA, Harvard, Vancouver, ISO, and other styles
28

Lee, Sang Hyuk, Bo Hyun Seo, and Jong Hyun Seo. "Micro-Scratch Analysis on Adhesion between Thin Films and PES Substrate." Advanced Materials Research 26-28 (October 2007): 1153–56. http://dx.doi.org/10.4028/www.scientific.net/amr.26-28.1153.

Full text
Abstract:
In flexible display, reliability of the thin film/polymer interface is an important issue because adhesion strength dissimilar materials is often inherently poor, and residual stresses arising from thermal mismatches or pressure exerted by vaporized moisture often lead to delaminations of interfaces. In the present study we deposited various thin films such as silicon nitride (SiNx), aluminum metal layer, and indium tin oxide on polyether sulphone (PES) substrate. The film adhesion was determined by micro-scratch test. The adhesion strength, presented by the critical load, Lc, when the film starts to delaminate, was determined as a function of plasma pretreated on PES substrate.
APA, Harvard, Vancouver, ISO, and other styles
29

Bulkin, Pavel, Patrick Chapon, Dmitri Daineka, Guili Zhao, and Nataliya Kundikova. "PECVD SiNx Thin Films for Protecting Highly Reflective Silver Mirrors: Are They Better Than ALD AlOx Films?" Coatings 11, no. 7 (June 26, 2021): 771. http://dx.doi.org/10.3390/coatings11070771.

Full text
Abstract:
Protection of silver surface from corrosion is an important topic, as this metal is highly susceptible to damage by atomic oxygen, halogenated, acidic and sulfur-containing molecules. Protective coatings need to be efficient at relatively small thicknesses, transparent and must not affect the surface in any detrimental way, during the deposition or over its lifetime. We compare PECVD-deposited SiNx films to efficiency of ALD-deposited AlOx films as protectors of front surface silver mirrors against damage by oxygen plasma. Films of different thickness were deposited at room temperature and exposed to O2 ECR-plasma for various durations. Results were analyzed with optical and SEM microscopy, pulsed GD-OES, spectroscopic ellipsometry and spectrophotometry on reflection. Studies indicate that both films provide protection after certain minimal thickness. While this critical thickness seems to be smaller for SiNx films during short plasma exposures, longer plasma treatment reveals that the local defects in PECVD-deposited films (most likely due to erosion of some regions of the film and pinholes) steadily multiply with time of treatment and lead to slow drop of reflectance of SiNx-protected mirrors, whereas we showed before that ALD-deposited AlOx films reliably protect silver surface during long plasma exposures.
APA, Harvard, Vancouver, ISO, and other styles
30

Chen, Rongsheng, Wei Zhou, Meng Zhang, and Hoi-Sing Kwok. "Self-aligned indium–gallium–zinc oxide thin-film transistors with SiNx/SiO2/SiNx/SiO2 passivation layers." Thin Solid Films 564 (August 2014): 397–400. http://dx.doi.org/10.1016/j.tsf.2014.05.061.

Full text
APA, Harvard, Vancouver, ISO, and other styles
31

Bunnak, Phuwanai, Yong Ping Gong, Supanee Limsuwan, Artorn Pokaipisit, and Pichet Limsuwan. "XPS and Spectroscopic Ellipsometry Study of Composite SiNx/DLC Prepared by Co-Deposition of RF Magnetron and Filtered Cathodic Arc." Advanced Materials Research 712-715 (June 2013): 601–5. http://dx.doi.org/10.4028/www.scientific.net/amr.712-715.601.

Full text
Abstract:
Composite SiNx/DLC films were deposited on silicon substrate by co-deposition system. The carbon plasma was generated by filtered cathodic arc source, simultaneously incorporated with silicon nitride from RF magnetron sputtering. The silicon nitride sputtering rate was maintained by fixed RF power at 100W while the arc current of FCA was varied from 20 to 80A.The SiNx/DLC film composition and optical properties were investigated by X-ray photoelectron spectroscopy and spectroscopic ellipsometry respectively. The results revealed that the atomic concentration of carbon increased while those of silicon and nitrogen decreased with increasing arc current. The oxidation was found on the film surface and related to the atomic concentration of silicon. The optical properties can be changed as a function of carbon concentration by setting different arc current. In this work, the volume percentage of carbon obtained from spectroscopic ellipsometry using Bruggeman EMA model showed good numerical correlation with the atomic percentage of carbon from XPS analysis with the range spanning across 75-95 at. %.
APA, Harvard, Vancouver, ISO, and other styles
32

Cho, Haewon, Namgue Lee, Hyeongsu Choi, Hyunwoo Park, Chanwon Jung, Seokhwi Song, Hyunwoo Yuk, et al. "Remote Plasma Atomic Layer Deposition of SiNx Using Cyclosilazane and H2/N2 Plasma." Applied Sciences 9, no. 17 (August 28, 2019): 3531. http://dx.doi.org/10.3390/app9173531.

Full text
Abstract:
Silicon nitride (SiNx) thin films using 1,3-di-isopropylamino-2,4-dimethylcyclosilazane (CSN-2) and N2 plasma were investigated. The growth rate of SiNx thin films was saturated in the range of 200–500 °C, yielding approximately 0.38 Å/cycle, and featuring a wide process window. The physical and chemical properties of the SiNx films were investigated as a function of deposition temperature. As temperature was increased, transmission electron microscopy (TEM) analysis confirmed that a conformal thin film was obtained. Also, we developed a three-step process in which the H2 plasma step was introduced before the N2 plasma step. In order to investigate the effect of H2 plasma, we evaluated the growth rate, step coverage, and wet etch rate according to H2 plasma exposure time (10–30 s). As a result, the side step coverage increased from 82% to 105% and the bottom step coverages increased from 90% to 110% in the narrow pattern. By increasing the H2 plasma to 30 s, the wet etch rate was 32 Å/min, which is much lower than the case of only N2 plasma (43 Å/min).
APA, Harvard, Vancouver, ISO, and other styles
33

Kouakou, P., P. Yoboue, B. Ouattara, V. Hody, P. Choquet, and M. Belmahi. "Silicon Carbon Nitride Thin Films Produced by Magnetron Reactive Sputtering Physical Vapour Deposition: Structural, Chemical and Mechanical Characterisation." Journal of Surface Science and Technology 33, no. 1-2 (July 24, 2017): 44. http://dx.doi.org/10.18311/jsst/2017/11022.

Full text
Abstract:
Amorphous silicon carbon nitride films were deposited on silicon and WC-Co substrates by magnetron reactive sputtering in Ar/N<sub>2</sub> gas mixture with carbon and silicon targets. The influence of experimental parameters on the films morphological, structural and mechanical properties was studied. The general morphology of the film is observed by SEM and TEM. EDXS and FTIR were used to determine the film chemical composition and the nature of chemical bonding. It was observed that C≡N bonds and nitrogen percentage in the film are promoted when the substrate is biased. The role of an underlayer and the influence of its nature on the film adhesion on WC/Co substrates were also studied. In this case, nanoscratch tests showed that a SiNx thin film could be an appropriate underlayer.
APA, Harvard, Vancouver, ISO, and other styles
34

Chernenko, Volodymyr A., Ricardo López Antón, Stefano Besseghini, José M. Barandiarán, Makoto Ohtsuka, Andrea Gambardella, and Peter Müllner. "Magnetization and Domain Patterns in Martensitic NiMnGa Films on Si(100) Wafer." Advanced Materials Research 52 (June 2008): 35–43. http://dx.doi.org/10.4028/www.scientific.net/amr.52.35.

Full text
Abstract:
A series of Ni51.4Mn28.3Ga20.3 films sputter-deposited on Si(100) wafer (with 500 nm thick buffer layer of SiNx) and annealed at 800 oC for 1h. are investigated with respect to their transformation behavior and magnetic properties. The film thickness, d, varies from 0.1 to 5.0 μm. Resistivity measurements reveal martensitic transformation above room temperature for all the films except for 0.1μm-thick film which is transforming at much lower temperature. The magnetic characteristics of martensitic films such as susceptibility and anisotropy field extracted from the inplane and out-of-plane magnetization curves show film thickness dependence likewise Curie temperature obtained from the resistivity curves. The surface topography and micromagnetic structure are studied by scanning probe microscopy. A stripe magnetic domain pattern featuring a large out-of-plane magnetization component is found in the films. The domain width, δ, depends on the film thickness, d, as δ ~ d .
APA, Harvard, Vancouver, ISO, and other styles
35

Kang, Unbyoung, Taegon Lee, and Young-Ho Kim. "Pt/Ti Thin Film Adhesion on SiNx/Si Substrates." Japanese Journal of Applied Physics 38, Part 1, No. 7A (July 15, 1999): 4147–51. http://dx.doi.org/10.1143/jjap.38.4147.

Full text
APA, Harvard, Vancouver, ISO, and other styles
36

Shang, Zheng Guo, Dong Ling Li, and Zhi Yu Wen. "Fabrication of Low Stress PECVD-SiNx Film in High Frequency Mode." Key Engineering Materials 562-565 (July 2013): 22–27. http://dx.doi.org/10.4028/www.scientific.net/kem.562-565.22.

Full text
Abstract:
A new fabrication method to produce low residual stress PECVD SiNx layers at high frequency (13.56 MHz) was developed. High frequency up to 60W is employed in this new method to fabricate low stress SiNx. By adjusting the composition of reactant gases, process vacuum and the chamber temperature, the residual stress can be lower to-0.28 MPa, and high deposition rate up to 240 nm/min can be achieved. In addition, this paper investigated the influence of other important parameters on the results, such as pressure, power and gases flow rates. Moreover, by using the optimal process, the refractive index is ranged from 1.98 to 2.20, and the uniformity of run to run wafers is about ±3% for 4 inch wafers. Finally, a typical FBAR (film bulk acoustic wave resonator) structure using these low stress PECVD SiNx layers as solid layer and mask indicated that these layers are compatible in IC technology and suitable for using in fabricating MEMS(microelectromechanical systems) devices.
APA, Harvard, Vancouver, ISO, and other styles
37

Zhang, S., and D. E. Brodie. "The effect of H on a-SiNx prepared by ion-beam-assisted reaction deposition." Canadian Journal of Physics 71, no. 9-10 (September 1, 1993): 448–54. http://dx.doi.org/10.1139/p93-070.

Full text
Abstract:
a-SiNx:H films have been prepared using ion-beam-assisted deposition and source gases of NH3, or N2 + NH3 gas mixtures. Some optical and electrical properties were compared with those of nonhydrogenated a-SiNx films, prepared using pure N2 as the ion source gas. H can significantly alter the a-SiNx:H film properties, but the effects are different, depending on the source gas used. a-SiNx:H films, prepared using NH3 ion beams exhibit activated conductivities, are photoconducting and display photoconductivity fatigue effects. For the same N concentrations, the decay of a-SiNx:H is faster than that of a-SiNx. This could be due to an indirect role for H, i.e., its effect on the recombination centre density. a-SiN0.82:H prepared with an NH3 + N2 gas mixture contains more N—H bonds than Si—H bonds, and this is opposite to that found in a-SiNx:H, made using an ammonia ion beam. Even though the N concentrations and the total H concentrations are kept fairly constant in this group of films, the electrical activation energies, optical gaps and conductivities are different. The results suggest that the Si—H bond is more important than the N—H bond in determining the film properties for Si-rich films. The photoconductivities for the group of films made with the gas mixture are lower than those observed for a-SiNx:H films prepared with NH3 alone. In addition, the mixing of NH3 with N2 for the ion source reduces both the optical gaps and the activation energies, and increases the dark conductivities of the films for the same N concentration, when a lower NH3/(NH3 + N2) gas ratio is used.
APA, Harvard, Vancouver, ISO, and other styles
38

Liu, Yiming, Chang Liu, Houyun Qin, Chong Peng, Mingxin Lu, Zhanguo Chen, and Yi Zhao. "Steep Subthreshold Swing and Enhanced Illumination Stability InGaZnO Thin-Film Transistor by Plasma Oxidation on Silicon Nitride Gate Dielectric." Membranes 11, no. 11 (November 22, 2021): 902. http://dx.doi.org/10.3390/membranes11110902.

Full text
Abstract:
In this paper, an InGaZnO thin-film transistor (TFT) based on plasma oxidation of silicon nitride (SiNx) gate dielectric with small subthreshold swing (SS) and enhanced stability under negative bias illumination stress (NBIS) have been investigated in detail. The mechanism of the high-performance InGaZnO TFT with plasma-oxidized SiNx gate dielectric was also explored. The X-ray photoelectron spectroscopy (XPS) results confirmed that an oxygen-rich layer formed on the surface of the SiNx layer and the amount of oxygen vacancy near the interface between SiNx and InGaZnO layer was suppressed via pre-implanted oxygen on SiNx gate dielectric before deposition of the InGaZnO channel layer. Moreover, the conductance method was employed to directly extract the density of the interface trap (Dit) in InGaZnO TFT to verify the reduction in oxygen vacancy after plasma oxidation. The proposed InGaZnO TFT with plasma oxidation exhibited a field-effect mobility of 16.46 cm2/V·s, threshold voltage (Vth) of −0.10 V, Ion/Ioff over 108, SS of 97 mV/decade, and Vth shift of −0.37 V after NBIS. The plasma oxidation on SiNx gate dielectric provides a novel approach for suppressing the interface trap for high-performance InGaZnO TFT.
APA, Harvard, Vancouver, ISO, and other styles
39

Shi, Ji Feng, Long Long Chen, and Xiang Sun. "Effect of Annealing and Gate Insulator Material Changing on the Performances of IGZO-TFT." Applied Mechanics and Materials 670-671 (October 2014): 1467–70. http://dx.doi.org/10.4028/www.scientific.net/amm.670-671.1467.

Full text
Abstract:
Indium-gallium-zinc oxide Thin Film Transistors (IGZO-TFT) were separately prepared with SiOx and SiNx/ SiOx as gate insulator,with IGZO films deposited at room-temperature by RF magnetron sputtering method as active layer.Compared with TFT with SiOx as gate insulator, The saturation mobility and the on/off ratio of TFT with SiNx/ SiOx as gate insulator were much higher. And,the threshold swing was also smaller.But,the threshold voltage was not good enough,was larger. By annealing at 200°C in the air,the saturation mobility increased from 1.42 to 7.5 cm2.V-1.S-1. While, the saturation mobility had no obvious change when TFT was annealed at high temperature. Seriously, IGZO annealed at high temperature would become crystal,it was not good for the ohmic contact between active layer and metal conductive layer,and,the interface between active layer and insulator would be deteriorated.These will result in the threshold swing become larger and the on/off ratio get smaller.200°C is a suitable temperature for annealing. So,using SiNx/ SiOx films as gate insulator,together with TFT annealing at low temperature, could improve the performances of TFT effectively.
APA, Harvard, Vancouver, ISO, and other styles
40

Xu, Jun, Tianmin Shao, and Rong Zhu. "Study of SiNx films used as protective layer on Ni film flow sensors." Surface and Coatings Technology 253 (August 2014): 38–43. http://dx.doi.org/10.1016/j.surfcoat.2014.05.011.

Full text
APA, Harvard, Vancouver, ISO, and other styles
41

Arzhannikova, Sofia A., M. D. Efremov, Vladimir A. Volodin, G. N. Kamaev, D. V. Marin, S. A. Soldatenkov, V. S. Shevchuk, S. A. Kochubei, A. A. Popov, and Yu A. Minakov. "Laser Assisted Formation on Nanocrystals in Plasma-Chemical Deposited SiNx Films." Solid State Phenomena 108-109 (December 2005): 53–58. http://dx.doi.org/10.4028/www.scientific.net/ssp.108-109.53.

Full text
Abstract:
The laser assisted formation of silicon nanocrystals in SiNx films deposited on quartz and silicon substrates is studied. The Raman spectroscopy revealed creation of the Si cluster and crystallite after excimer laser treatments. Photoluminescence signal from the samples was detected at room temperatures. I-V and C-V measurements were carried out to examine carries transfer through dielectrics film as well as recharging of electronics states.
APA, Harvard, Vancouver, ISO, and other styles
42

Hwang, Soo Jung, Junichi Koike, and Young Chang Joo. "Evolution of Stress-Induced Surface Damage and Stress-Relaxation of Electroplated Cu Films at Elevated Temperatures." Materials Science Forum 475-479 (January 2005): 3641–46. http://dx.doi.org/10.4028/www.scientific.net/msf.475-479.3641.

Full text
Abstract:
The reaction induced phase separation aimed for the distribution of nano-structured particles has been investigated by transmission electron microscopy (TEM) and X-ray photoelectron spectroscopy (XPS) in ternary Ti-Si-N film via N+ implantation. The fabrication of Ti-20at%Si film has made on Si substrates by ion beam sputtering (IBS), and then N+ implantation with 50 keV has been conducted on these films. The selected area electron diffraction (SAED) from as-deposited film shows amorphous Ti-Si. As-deposited Ti-Si film exhibited high stability even for the heat treatment at 773K for 3600s. N+ implantation induced the direct formation of nano crystalline of fcc-TiNx within the Ti-Si film. The XPS depth profiling and chemical shift suggest that the preferential nitriding of Ti accompanied with the segregation of SiNx occurred during N-implantation.
APA, Harvard, Vancouver, ISO, and other styles
43

Muraishi, Shinji, and Tatsuhiko Aizawa. "Phase Separation into Nano-Crystalline Nitrides in Ternary Ti-Si-N System via N Implantation." Materials Science Forum 475-479 (January 2005): 3651–54. http://dx.doi.org/10.4028/www.scientific.net/msf.475-479.3651.

Full text
Abstract:
The reaction induced phase separation aimed for the distribution of nano-structured particles has been investigated by transmission electron microscopy (TEM) and X-ray photoelectron spectroscopy (XPS) in ternary Ti-Si-N film via N+ implantation. The fabrication of Ti-20at%Si film has made on Si substrates by ion beam sputtering (IBS), and then N+ implantation with 50 keV has been conducted on these films. The selected area electron diffraction (SAED) from as-deposited film shows amorphous Ti-Si. As-deposited Ti-Si film exhibited high stability even for the heat treatment at 773K for 3600s. N+ implantation induced the direct formation of nano crystalline of fcc-TiNx within the Ti-Si film. The XPS depth profiling and chemical shift suggest that the preferential nitriding of Ti accompanied with the segregation of SiNx occurred during N-implantation.
APA, Harvard, Vancouver, ISO, and other styles
44

Gruber, Patric A., Sven Olliges, Eduard Arzt, and Ralph Spolenak. "Temperature dependence of mechanical properties in ultrathin Au films with and without passivation." Journal of Materials Research 23, no. 9 (September 2008): 2406–19. http://dx.doi.org/10.1557/jmr.2008.0292.

Full text
Abstract:
Temperature and film thickness are expected to have an influence on the mechanical properties of thin films. However, mechanical testing of ultrathin metallic films at elevated temperatures is difficult, and few experiments have been conducted to date. Here, we present a systematic study of the mechanical properties of 80–500-nm-thick polycrystalline Au films with and without SiNx passivation layers in the temperature range from 123 to 473 K. The films were tested by a novel synchrotron-based tensile testing technique. Pure Au films showed strong temperature dependence above 373 K, which may be explained by diffusional creep. In contrast, passivated samples appeared to deform by thermally activated dislocation glide. The observed activation energies for both mechanisms are considerably lower than those for the bulk material, indicating that concomitant stress relaxation mechanisms are more pronounced in the thin film geometry.
APA, Harvard, Vancouver, ISO, and other styles
45

Wang, Ruozheng, Qiang Wei, Jie Li, Jiao Fu, Yiwei Liu, Tianfei Zhu, Cui Yu, Gang Niu, Shengli Wu, and Hongxing Wang. "Effect of HfO2-Based Multi-Dielectrics on Electrical Properties of Amorphous In-Ga-Zn-O Thin Film Transistors." Coatings 11, no. 11 (November 11, 2021): 1381. http://dx.doi.org/10.3390/coatings11111381.

Full text
Abstract:
We report the fabrication of bottom gate a-IGZO TFTs based on HfO2 stacked dielectrics with decent electrical characteristics and bias stability. The microscopic, electrical, and optical properties of room temperature deposited a-IGZO film with varied oxygen content were explored. In order to suppress the bulk defects in the HfO2 thin film and hence maximize the quality, surface modification of the SiNx film was investigated so as to achieve a more uniform layer. The root mean square (RMS) roughness of SiNx/HfO2/SiNx (SHS) stacked dielectrics was only 0.66 nm, which was reduced by 35% compared with HfO2 single film (1.04 nm). The basic electrical characteristics of SHS-based a-IGZO TFT were as follows: Vth is 2.4 V, μsat is 21.1 cm2 V−1 s−1, Ion/Ioff of 3.3 × 107, Ioff is 10−11 A, and SS is 0.22 V/dec. Zr-doped HfO2 could form a more stable surface, which will decrease the bulk defect states so that the stability of device can be improved. It was found that the electrical characteristics were improved after Zr doping, with a Vth of 1.4 V, Ion/Ioff of 108, μsat of 19.5 cm2 V−1 s−1, Ioff of 10−12 A, SS of 0.18 V/dec. After positive gate bias stress of 104 s, the ΔVth was decreased from 0.43 V (without Zr doping) to 0.09 V (with Zr doping), the ΔSS was decreased from 0.19 V/dec to 0.057 V/dec, respectively, which shows a meaningful impact to realize the long-term working stability of TFT devices.
APA, Harvard, Vancouver, ISO, and other styles
46

Song, Chao, Yan Qing Guo, Xiang Wang, Jie Song, and Rui Huang. "Microstructures and Photoluminescence of a-Si:H/a-SiNx Multilayers Annealed at Different Temperature." Key Engineering Materials 531-532 (December 2012): 465–68. http://dx.doi.org/10.4028/www.scientific.net/kem.531-532.465.

Full text
Abstract:
Series of a-Si:H/a-SiNx multilayers were prepared by very high frequency plasma enhanced chemical vapor deposition system. As-deposited samples were thermally annealed at the various temperatures. The effects of thermal annealing on the properties of luminescence were investigated. The photoluminescence intensity of the film annealed at 600 °C is found to be higher than that of the film without annealing. However, with further increasing the annealing temperature from 600 °C to 800 °C, the photoluminescence intensity of the film rapidly decreases. Fourier transform infrared spectroscopy and Raman-scattering spectroscopy were used to study the changes of the microstructures and bonding configurations. Based on the measurements of structural and bonding configurations, the improved photoluminescence intensity is attributed to the forming of radiative defect states caused by the effusion of hydrogen in the films.
APA, Harvard, Vancouver, ISO, and other styles
47

Izumi, A., and H. Matsumura. "Properties of Catalytic CVD SiNx For Antireflection Coatings." MRS Proceedings 555 (1998). http://dx.doi.org/10.1557/proc-555-161.

Full text
Abstract:
AbstractWe propose a novel preparation of high quality silicon nitride (SiNx) films by catalytic chemical vapor deposition (Cat-CVD) method for the application of antireflection coatings. It is found that the refractive index (n) of the Cat-CVD SiNx films are controlled from 2.0 to 2.5 by varying the flow ratio of SiH4 and NH3. The properties of the SiNx (n = 2.0) are investigated, and it is found that, 1) the 16-BHF etching rate of the Cat-CVD SiNx film is only 23 A/min, and the film shows excellent moisture resistance, 2) the Cat-CVD SiNx film shows good insulating properties, and the breakdown electric field is higher than 9 MV/cm and the interface state density is 5.6x 1011 cm2eV-1, 3) the step coverage of the film is very conformal.
APA, Harvard, Vancouver, ISO, and other styles
48

Minamikawa, Toshiharu, Yasuto Yonezawa, Yoshikazu Fujimori, Takashi Nakamura, Atsushi Masuda, and Hideki Matsumura. "200 °C Preparation of SiNx Passivation Films for PZT Ferroelectric Capacitors by Catalytic CVD." MRS Proceedings 655 (2000). http://dx.doi.org/10.1557/proc-655-cc2.10.1.

Full text
Abstract:
AbstractFeasibility of SiNx passivation films at low substrate temperatures prepared by catalytic chemical vapor deposition (Cat-CVD) is studied for ferroelectric nonvolatile random access memories (FRAMs). SiNx films were prepared at low substrate temperatures of 100 °C, 175 °C and 200 °C using Cat-CVD. Adjusting on flow rate ratio of SiH4/NH3, the refractive index of SiNx film, deposited at 175 °C and 200 °C, measured by ellipsometry is controlled around 2.0. SiNx films, with the refractive index around 2.0, deposited at only 200 °C show the following properties. 1) No oxidation during air exposure for 3 months was observed for the films. 2) Etching rate of the films in buffered HF is 20 nm/min. The dense SiNx film, which is resistive for oxidation in air exposure and dissolution in buffered HF, is prepared at 200 °C and the film is suitable to the passivation of ferroelectric capacitors.
APA, Harvard, Vancouver, ISO, and other styles
49

Kattamis, Alex Z., Kunigunde H. Cherenack, I.-Chun Cheng, Ke Long, James C. Sturm, and Sigurd Wagner. "Fracture Mechanisms of SiNx Thin-films on Compliant Substrates." MRS Proceedings 1078 (2008). http://dx.doi.org/10.1557/proc-1078-m14-02.

Full text
Abstract:
AbstractThe prospect of large-area electronics on polymers, for flexible applications requires a study of thin film fracture mechanisms. To fabricate thin-film transistor (TFT) backplanes on polymer foils the substrate must first be passivated to protect the polymer substrate from chemicals used during processing and to protect the TFTs from substrate out gassing. Silicon nitride (SiNx) is commonly used for this purpose since it tends to adhere well to polymers and is easily deposited by PE-CVD. When rigid thin films such as SiNx are deposited onto compliant substrates, such as polymer foils, stresses caused by built-in strains and the mismatch in coefficients of thermal expansion can cause fracture. The deposited thin films may fracture, and also the polymer substrate below. Using focused-ion beam milling and scanning electron microscopy we analyzed two distinct thin film fracture morphologies for SiNx films on two different types of polymer substrate. One had a relatively low, the other a relatively high coefficient of thermal expansion. For both SiNx/substrate systems the SiNx was under residual compressive stress and the substrate under tension. In one case the compressive stress in the thin films cause them to debond, buckle, and crack. In the other case the tensile stress in the substrate causes it to tear, followed by the fracture of the SiNx film above.
APA, Harvard, Vancouver, ISO, and other styles
50

Kambayashi, Hiroshi, Takahiro Wada, Nariaki Ikeda, and Seikoh Yoshida. "Effects of the high-refractive index SiNx passivation on AlGaN/GaN HFETs with a very low gate-leakage current." MRS Proceedings 892 (2005). http://dx.doi.org/10.1557/proc-0892-ff05-03.

Full text
Abstract:
AbstractWe have reported on the stress effects of the SiNx passivation film on AlGaN/GaN heterojunction field effect transistors (HFETs). The AlGaN/AlN/GaN heterostructure was grown using a metalorganic chemical vapor deposition (MOCVD). We first investigated the dependences between SiNx films and the refractive index of these. As a result, the stress type of the SiNx films was all Tensile. Moreover, the refractive index of SiNx increased, the stress was decreasing and almost invariable over refractive index 2.1. Furthermore, we fabricated some kinds of AlGaN/GaN HFETs and estimated the gate-leakage current and the current collapse of HFET. As a result, we confirmed the relationship between the stress of passivation film and gate-leakage current, and that the low stress SiNx film with a high-refractive index can suppress both a gate-leakage current and a current collapse.
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography