Journal articles on the topic 'Silicon oxide'

To see the other types of publications on this topic, follow the link: Silicon oxide.

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 journal articles for your research on the topic 'Silicon oxide.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse journal articles on a wide variety of disciplines and organise your bibliography correctly.

1

Ito, Takuya, Yasuyuki Ota, and Kensuke Nishioka. "Pattern Formation of Silicon Oxide Thin Film with InkMask." Applied Mechanics and Materials 481 (December 2013): 98–101. http://dx.doi.org/10.4028/www.scientific.net/amm.481.98.

Full text
Abstract:
Patterned silicon oxide films were formed by a simple process using a dimethyl-silicone-oil as source and inks as patterning masks.After the coating of the ink, the dimethyl-silicone-oil was coated onto the substrate. The sample was heated at 150oC and ozone gas was irradiated. After the heat treatment with ozone gas, patterned silicon film was formed. The circle pattern with a diameter of 20 μm wassuccessfully formed.After the formation of the patterned silicon oxide film, the silicon oxide was hardly observed at the position where the ink coated.
APA, Harvard, Vancouver, ISO, and other styles
2

Olenych, I. B., L. S. Monastyrskyi, and B. P. Koman. "Electrical Properties of Silicon-Oxide Heterostructures on the Basis of Porous Silicon." Ukrainian Journal of Physics 62, no. 2 (February 2017): 166–71. http://dx.doi.org/10.15407/ujpe62.02.0166.

Full text
APA, Harvard, Vancouver, ISO, and other styles
3

Jong, Fun-Cheng, and Wen-Ching Hsieh. "Performance Comparison of SONOS-Type UV TD Sensor Using Indium Tin Oxide-Aluminum Oxide-Zirconia Aluminum Oxide-Silicon Oxide-Silicon and Indium Tin Oxide-Aluminum Oxide-Hafnium Aluminum Oxide-Silicon Oxide-Silicon." Crystals 13, no. 7 (July 13, 2023): 1092. http://dx.doi.org/10.3390/cryst13071092.

Full text
Abstract:
This study compares the performance of two types of capacitive devices, indium tin oxide-aluminum oxide-zirconia aluminum oxide-silicon oxide-silicon (IAZAOS) and indium tin oxide-aluminum oxide-hafnium aluminum oxide-silicon oxide-silicon (IAHAOS), as silicon-oxide-nitride-oxide-silicon (SONOS) non-volatile memory (NVM) total dose of ultraviolet radiation (UV TD) sensors. Results show that IAZAOS with zirconia aluminum oxide as the charge-trapping layer outperforms IAHAOS with hafnium aluminum oxide for a UV TD sensor. After exposure to UV TD irradiation of 100 mW·s/cm2, the threshold voltage (VT) change of IAZAOS is almost 1.25 times that of IAHAOS. The study also found that annealing can significantly improve the response performance of IAZAOS UV TD sensors. Furthermore, IAZAOS devices with partially smaller nanocrystals in the charge-trapping layer greatly enhance the response of SONOS-type UV TD sensors. The study also compared the constant voltage stress-induced leakage current (CVSILC) and found that the CVSILC for annealed IAZAOS devices is 1000 times smaller than that of IAHAOS devices. Moreover, the IAZAOS-I2Z2 exhibits a superior performance regarding irradiation/refresh cycle endurance as compared to the IAHAOS-I2H1 device. These findings suggest that IAZAOS capacitive devices have superior performance and potential for use in SONOS-type UV TD sensors.
APA, Harvard, Vancouver, ISO, and other styles
4

Hubbard, K. J., and D. G. Schlom. "Thermodynamic stability of binary oxides in contact with silicon." Journal of Materials Research 11, no. 11 (November 1996): 2757–76. http://dx.doi.org/10.1557/jmr.1996.0350.

Full text
Abstract:
Using tabulated thermodynamic data, a comprehensive investigation of the thermo-dynamic stability of binary oxides in contact with silicon at 1000 K was conducted. Reactions between silicon and each binary oxide at 1000 K, including those involving ternary phases, were considered. Sufficient data exist to conclude that all binary oxides except the following are thermodynamically unstable in contact with silicon at 1000 K: Li2O, most of the alkaline earth oxides (BeO, MgO, CaO, and SrO), the column IIIB oxides (Sc2O3, Y2O3, and Re2O3, where Re is a rare earth), ThO2, UO2, ZrO2, HfO2, and Al2O3. Of these remaining oxides, sufficient data exist to conclude that BeO, MgO, and ZrO2 are thermodynamically stable in contact with silicon at 1000 K. Our results are consistent with reported investigations of silicon/binary oxide interfaces and identify candidate materials for future investigations.
APA, Harvard, Vancouver, ISO, and other styles
5

Rabenberg, Lew, J. P. Zhou, Kil-Soo Ko, and Rita Johnson. "TEM Imaging of Amorphous Silicon Oxide - Silicon Nitride - Silicon Oxide Dielectric Films." Microscopy and Microanalysis 7, S2 (August 2001): 1228–29. http://dx.doi.org/10.1017/s1431927600032219.

Full text
Abstract:
Thin films of amorphous silicon oxide and silicon nitride are routinely used as gate dielectrics in silicon-based microelectronic devices. It is valuable to be able to image them and measure their thicknesses quickly and accurately. This brief note describes conditions that can be used to obtain accurate and reproducible TEM images of oxide-nitride-oxide (ONO) thin films.Obtaining adequate contrast differences between oxide and nitride is not trivial because they have the same average atomic number, and both phases are amorphous. As stoichiometric compounds, both SiO2 and Si3N4 would have average atomic numbers equal to 10. For SiO2, (14+2(8))/3=10, and for Si3N4, (3(14)+4(7))/7=10. Thus, the atomic number contrast between these two is weak or nonexistent. Similarly, the amorphous character prevents the use of conventional diffraction contrast techniques.However, the density of Si3N4 (3.2 g/cm3) is considerably greater than the density of SiO2 (2.6 g/cm3), reflecting the higher average coordination of N compared with O.
APA, Harvard, Vancouver, ISO, and other styles
6

Latukhina, N. V. "Composition Profiles of Silicon–Silicon Oxide and Silicon–Rare Earth Oxide Structures." Technical Physics Letters 31, no. 7 (2005): 564. http://dx.doi.org/10.1134/1.2001055.

Full text
APA, Harvard, Vancouver, ISO, and other styles
7

Nishioka, Kensuke, Kosei Sato, Takuya Ito, and Yasuyuki Ota. "Low Temperature Formation of Silicon Oxide Thin Film and Modification of Film Quality by Argon Excimer Light." Advanced Materials Research 894 (February 2014): 408–11. http://dx.doi.org/10.4028/www.scientific.net/amr.894.408.

Full text
Abstract:
Silicon oxide thin film was formed using reaction of spin-coated dimethyl-silicone-oil and 5% ozone gas at low temperature of 300°C. Silicone oil is used for lubrication, insulation, and so on, and it is inexpensive and easy to deal with owing to its stability. FT-IR spectrum of the formed silicon oxide film was similar to that of the thermally oxidized film, and we hardly observed peaks of Si-CH3and C-H bonds originated in silicone oil. The Si-OH bonds in the film were observed. The Si-OH bond causes the degradation of the electric properties of the insulator. In order to remove the Si-OH bonds, the silicon oxide film was treated with an argon excimer light at room temperature. The wavelength of the light was 126 nm. The amount of Si-OH bond was drastically reduced by the UV annealing. The energy of the UV light is high and the value is 9.8 eV. The high energy light may cut the bond of Si-OH. Therefore, the amount of Si-OH bond could be reduced.
APA, Harvard, Vancouver, ISO, and other styles
8

Kim, K. B., A. S. Lenshin, F. M. Chyragov, and S. I. Niftaliev. "FORMATION OF NANOSTRUCTURED TIN OXIDE FILM ON POROUS SILICON." Azerbaijan Chemical Journal, no. 3 (September 19, 2023): 83–89. http://dx.doi.org/10.32737/0005-2531-2023-3-83-89.

Full text
Abstract:
Porous silicon is actively used in the fabrication of sensors and detectors because of its large specific surface area, which is an important characteristic for gas adsorption. To improve the operating parameters of the sensors and increase the stability of operation, a film of tin oxide was deposited on the substrate of porous silicon by vacuum-thermal evaporation. The choice of tin is due to its wide forbidden zone, low cost, and high sensitivity. Porous silicon was obtained by the electrochemical anodization of single-crystalline silicon KEF (100). The data on morphology, composition and optical properties of the initial sample of porous silicon and the sample with deposited tin have been obtained by scanning electron microscopy, infrared and photoluminescence spectroscopy. It was found that the chemical tin deposition on porous silicon leads to the formation of composite structure, which significantly prevents further oxidation of the porous layer during storage, and to the shift of the luminescence band maximum
APA, Harvard, Vancouver, ISO, and other styles
9

Lin, M.-T., R. J. Jaccodine, and T. J. Delph. "Planar oxidation of strained silicon substrates." Journal of Materials Research 16, no. 3 (March 2001): 728–33. http://dx.doi.org/10.1557/jmr.2001.0112.

Full text
Abstract:
We report here on a series of experiments in which relatively low levels of in-plane bending strain were applied to oxidizing silicon substrates. These were found to result in significant decreases in oxide thickness in the ultrathin oxide regime. Both tensile and compressive bending resulted in roughly the same degree of thickness retardation, although compressive bending typically led to somewhat thinner oxides than did tensile bending. An examination of the experimental data indicate that the principal effect seems to occur in the very early stages of oxidation, with only minor effects on subsequent oxide growth. We hypothesize that the observed oxide thickness retardation is related to straining of the underlying silicon lattice at the oxidation front.
APA, Harvard, Vancouver, ISO, and other styles
10

Kim, M. J. "Quantitative analysis of silicon oxide using EELS." Proceedings, annual meeting, Electron Microscopy Society of America 52 (1994): 986–87. http://dx.doi.org/10.1017/s0424820100172668.

Full text
Abstract:
Silicon oxide exists as a continuous solid solution of Si and O (i.e., Si to SiO2), and its band gap energy (Eg) depends on the oxygen content in the system. Si is a semiconductor (Eg=1.1eV) but SiO2 is an insulator (Eg=9eV). Substoichiometric oxide SiOx, where 0<x<2, is a semi-insulator. With its extensive applications in electronic and photonic devices, quantitative analysis for the structure and composition of silicon oxide synthesized by various methods becomes increasingly important to understand its structure/properties relationships. EELS, when coupled to a TEM with a field emission source, is a powerful analytical technique for obtaining a host of spatially resolved information from nanoscale solids. In this paper, several examples of applications of high spatial resolution EELS for quantitative analysis of silicon oxides will be illustrated, with brief descriptions of experimental and data quantification procedure.
APA, Harvard, Vancouver, ISO, and other styles
11

Wang, Yalin. "Effect of Nano Titanium Oxide with Different Surface Treatments on Color Stability of Red-Tinted Silicone Rubber." International Journal of Analytical Chemistry 2022 (August 10, 2022): 1–7. http://dx.doi.org/10.1155/2022/1334903.

Full text
Abstract:
To improve the color stability of facial prosthesis silicone rubber, this paper studied the effect of nano titanium oxide with different surface treatments on the color stability of red pigment-colored silicone rubber. Under the simulated sunlight aging condition, this paper takes MDX4-4210 silicone rubber as the matrix, silicon aluminum-coated nano TiO2 as the shading agent, and cadmium red oil paint as the colorant, and it observes the values of silicon aluminum-coated nano-TiO2 silicone rubber film with 1 mm thickness and different concentrations (0, 0.05%, 0.10%, and 0.15%) before and after aging. The experimental results showed that in the four concentrations of silicon aluminum-coated nano-TiO2 film, the Δ E , Δ L ∗ , Δ a ∗ , Δ b ∗ values gradually decreased with the increase of the concentration of silicon aluminum-coated nano-TiO2. The lowest was in the 0.10% group; however, it increased in the 0.15% group. There was a significant difference among the concentration groups P < 0.05 . The method of covering nano-TiO2 silicone rubber film with different concentrations of silicon aluminum has a certain effect on delaying the discoloration of prosthetic silicone rubber, and it provides a new idea for improving the color stability of the prosthetic silicone rubber.
APA, Harvard, Vancouver, ISO, and other styles
12

Nasyrov, K. A., S. S. Shaimeev, V. A. Gritsenko, and J. H. Han. "Phonon-coupled trap-assisted charge injection in metal-nitride-oxide-silicon/silicon-oxide-nitride-oxide-silicon structures." Journal of Applied Physics 105, no. 12 (June 15, 2009): 123709. http://dx.doi.org/10.1063/1.3151711.

Full text
APA, Harvard, Vancouver, ISO, and other styles
13

Hu, Junqing, Yang Jiang, Xiangmin Meng, Chun-Sing Lee, and Shuit-Tong Lee. "Temperature-Dependent Growth of Germanium Oxide and Silicon Oxide Based Nanostructures, Aligned Silicon Oxide Nanowire Assemblies, and Silicon Oxide Microtubes." Small 1, no. 4 (April 2005): 429–38. http://dx.doi.org/10.1002/smll.200400101.

Full text
APA, Harvard, Vancouver, ISO, and other styles
14

Tiagulskyi, S. I. "Electroluminescent properties of Tb-doped carbon-enriched silicon oxide." Semiconductor Physics Quantum Electronics and Optoelectronics 17, no. 1 (March 31, 2014): 34–40. http://dx.doi.org/10.15407/spqeo17.01.034.

Full text
APA, Harvard, Vancouver, ISO, and other styles
15

Borowicz, P., A. Taube, W. Rzodkiewicz, M. Latek, and S. Gierałtowska. "Raman Spectra of High-κDielectric Layers Investigated with Micro-Raman Spectroscopy Comparison with Silicon Dioxide." Scientific World Journal 2013 (2013): 1–6. http://dx.doi.org/10.1155/2013/208081.

Full text
Abstract:
Three samples with dielectric layers from high-κdielectrics, hafnium oxide, gadolinium-silicon oxide, and lanthanum-lutetium oxide on silicon substrate were studied by Raman spectroscopy. The results obtained for high-κdielectrics were compared with spectra recorded for silicon dioxide. Raman spectra suggest the similarity of gadolinium-silicon oxide and lanthanum-lutetium oxide to the bulk nondensified silicon dioxide. The temperature treatment of hafnium oxide shows the evolution of the structure of this material. Raman spectra recorded foras-depositedhafnium oxide are similar to the results obtained for silicon dioxide layer. After thermal treatment especially at higher temperatures (600°C and above), the structure of hafnium oxide becomes similar to the bulk non-densified silicon dioxide.
APA, Harvard, Vancouver, ISO, and other styles
16

Mitomo, Mamoru, and Günter Petzow. "Recent Progress in Silicon Nitride and Silicon Carbide Ceramics." MRS Bulletin 20, no. 2 (February 1995): 19–22. http://dx.doi.org/10.1557/s0883769400049162.

Full text
Abstract:
We know from experience that ceramic materials are brittle and easily broken. This is one reason why ceramics have not been used as engineering materials. Fracture is the result of crack growth through the microstructure. It was Griffith who proposed that ceramics have intrinsic cracks which grow under applied stress. The concentration of the applied stress at the crack tip decreases the strength to a level of about 1% or less of the theoretical strength. If the crack starts to grow, strength decreases so sharply that a catastrophic fracture occurs.In spite of the brittle nature of ceramics, their application as engineering materials was proposed in the 1960s because ceramic materials made of silicon nitride or carbide have higher strength at high temperatures than metals and oxide ceramics. Non-oxide ceramics have lower thermal-expansion-coefficients than oxides, resulting in better thermal shock resistance, which is one of the most important requirements for engineering ceramics.
APA, Harvard, Vancouver, ISO, and other styles
17

Yang, Seung Dong, Ho Jin Yun, Kwang Seok Jeong, Yu Mi Kim, Sang Youl Lee, Jae Sub Oh, Hi Deok Lee, and Ga Won Lee. "The analysis of 3-Level Charge Pumping in SOHOS Flash Memory." Advanced Materials Research 658 (January 2013): 658–61. http://dx.doi.org/10.4028/www.scientific.net/amr.658.658.

Full text
Abstract:
This paper discusses the 3-level charge pumping method in planar-type Silicon-Oxide-High-k-Oxid e-Silicon (SOHOS) and Silicon-Oxide-Nitride-Oxide-Silicon (SONOS) devices to find out the reason for degradation of data retention properties. In the CP thechnique, a pulse is applied to the gate of the MOSFET which alternately fills the traps withe electrons and holes, thereby causing a recombination current Icp to flow in the substrate. A 3-level charge pumping method may be used to determine not only interface trap densities but also capture cross sections as a function of trap energy. By applying this method, SOHOS device found to have a higher interface trap density than SONOS device. Therefore, degradation of data retention characteristics is attributed to the many interface trap sites.
APA, Harvard, Vancouver, ISO, and other styles
18

Kobayashi, H., T. Ishida, Y. Nakato, and H. Mori. "Mechanism of carrier transport through a silicon‐oxide layer for 〈indium‐tin‐oxide/silicon‐oxide/silicon〉 solar cells." Journal of Applied Physics 78, no. 6 (September 15, 1995): 3931–39. http://dx.doi.org/10.1063/1.359912.

Full text
APA, Harvard, Vancouver, ISO, and other styles
19

WANG, S. J., C. K. ONG, S. Y. XU, P. CHEN, J. W. CHAI, W. C. TJIU, J. S. PAN, et al. "RHEED AND XPS STUDIES OF THE DECOMPOSITION OF SILICON DIOXIDE BY THE BOMBARDMENT OF METAL IONS." Surface Review and Letters 08, no. 05 (October 2001): 521–26. http://dx.doi.org/10.1142/s0218625x01001282.

Full text
Abstract:
In this paper, we report the RHEED and XPS studies of the decomposition of silicon dioxide by the bombardment of metal ions and the growth of ultrathin crystalline zirconia oxide film on silicon. Through XPS analysis, it was found that silicon dioxide could be decomposed by the bombardment of Zr ions in high temperature and lower partial pressure. Silicon dioxide was decomposed into evaporated silicon oxide, while part of the oxygen in silicon dioxide reacted with metal Zr ions to form stable zirconia oxide film. The metal ions reacted with silicon dioxide homogenously. Because of the smoothness of native silicon dioxide surface and atomically abrupt silicon dioxide interface with silicon, native oxide layer on silicon wafer was evenly removed and a sharp stable crystalline zirconia oxide interface with silicon was formed. The crystalline yittria-stabilized zirconia oxide (YSZ) film with equivalent electrical oxide thickness 1.46 nm show excellent electrical properties, the interface state density less than 2 × 1011 eV -1 cm -2 and leakage current 1.1 × 10-3 A/cm 2 at 1.0 V bias. It demonstrates that this method can be used to the deposition of high-κ metal oxide as alternative dielectrics for future generation device.
APA, Harvard, Vancouver, ISO, and other styles
20

Wu, Hai Hong, Bi Jun Luo, Chun Juan Gao, Yan An Zhang, Qi Zhang, and Xi Ping Huang. "Research Progress of High Quality Silicon Steel Magnesium Oxide." Applied Mechanics and Materials 556-562 (May 2014): 181–84. http://dx.doi.org/10.4028/www.scientific.net/amm.556-562.181.

Full text
Abstract:
Silicon steel magnesium oxide is a coating material used for preparing oriented silicon steel. In this paper, The preparation methods of silicon steel magnesium oxide and research progress of high quality silicon steel magnesium oxide preparation technology were introduced. The use of abundant brine resources in the preparation of silicon steel magnesium oxide was prospected.
APA, Harvard, Vancouver, ISO, and other styles
21

Olimov, Lutfiddin, and Islombek Khojimatov. "Thermoelectric properties of silicon oxide." E3S Web of Conferences 458 (2023): 01022. http://dx.doi.org/10.1051/e3sconf/202345801022.

Full text
Abstract:
The article describes the results obtained from the study of the thermal conductivity of granulated silicon particles covered with a layer of silicon oxide. The results of the study show that the thermal conductivity of granulated silicon increases from λ ~1,12 W/mK to λ ~2,74 W/mK at T~300÷600 K, which is consistent with the results of silicon oxide (λSiO2~1 W/mK). It was also observed that the electrical conductivity changes in the range of σ~0,0038÷0,017 (Ohm·sm)-1. The results of the study were explained based on the formation of a layer of silicon oxide on the surface of granulated silicon particles obtained by powder technology. Temperature-induced thermal voltaic effects are observed in the silicon oxide layer. This process depends on the formation of electron-hole pairs in cases with localized access, which leads to an increase in parameters λ and σ.
APA, Harvard, Vancouver, ISO, and other styles
22

Lee, Sang-Youl, Seung-Dong Yang, Ho-Jin Yun, Kwang-Seok Jeong, Yu-Mi Kim, Seong-Hyeon Kim, Hi-Deok Lee, Ga-Won Lee, and Jae-Sub Oh. "Pillar Type Silicon-Oxide-Nitride-Oxide-Silicon Flash Memory Cells with Modulated Tunneling Oxide." Transactions on Electrical and Electronic Materials 14, no. 5 (October 25, 2013): 250–53. http://dx.doi.org/10.4313/teem.2013.14.5.250.

Full text
APA, Harvard, Vancouver, ISO, and other styles
23

Gritsenko, V. A., Hei Wong, J. B. Xu, R. M. Kwok, I. P. Petrenko, B. A. Zaitsev, Yu N. Morokov, and Yu N. Novikov. "Excess silicon at the silicon nitride/thermal oxide interface in oxide–nitride–oxide structures." Journal of Applied Physics 86, no. 6 (September 15, 1999): 3234–40. http://dx.doi.org/10.1063/1.371195.

Full text
APA, Harvard, Vancouver, ISO, and other styles
24

Laades, Abdelazize, Heike Angermann, Hans Peter Sperlich, Uta Stürzebecher, Carlos Alberto Díaz Álvarez, Mario Bähr, and Alexander Lawerenz. "Wet Chemical Oxidation of Silicon Surfaces Prior to the Deposition of All-PECVD AlOx/a-SiNx Passivation Stacks for Silicon Solar Cells." Solid State Phenomena 195 (December 2012): 310–13. http://dx.doi.org/10.4028/www.scientific.net/ssp.195.310.

Full text
Abstract:
Aluminum oxide (AlOx) is currently under intensive investigation for use in surface passivation schemes in solar cells. AlOx films contain negative charges and therefore generate an accumulation layer on p-type silicon surfaces, which is very favorable for the rear side of p-type silicon solar cells as well as the p+-emitter at the front side of n-type silicon solar cells. However, it has been reported that quality of an interfacial silicon sub-oxide layer (SiOx), which is usually observed during deposition of AlOx on Silicon, strongly impacts the silicon/AlOx interface passivation properties [1]. The present work demonstrates that a convenient way to control the interface is to form thin wet chemical oxides of high quality prior to the deposition of AlOx/a-SiNx:H stacks by the plasma enhanced chemical vapor deposition (PECVD).
APA, Harvard, Vancouver, ISO, and other styles
25

King, Sharon M., Shweta Chaure, Satheesh Krishnamurthy, Werner J. Blau, Alan Colli, and Andrea C. Ferrari. "Optical Characterization of Oxide Encapsulated Silicon Nanowires of Various Morphologies." Journal of Nanoscience and Nanotechnology 8, no. 8 (August 1, 2008): 4202–6. http://dx.doi.org/10.1166/jnn.2008.an07.

Full text
Abstract:
The optical properties of four different silicon nanowire structures were investigated. Two of the samples consisted of spheres of nanocrystalline silicon en-capsulated by silicon oxide nanowires, with other two consisting of crystalline silicon nanowires coated by silicon oxide shells. The nanostructures produced by oxide assisted growth consisted of spheres of crystalline silicon encapsulated by silicon oxide shells. The absorption and photoluminescence of the different structures of the sample are investigated. The emitting species responsible for photoluminescence across the visible spectrum are discussed.
APA, Harvard, Vancouver, ISO, and other styles
26

Tomina, E., A. Dmitrenkov, Konstantin Zhuzhukin, Nataliya Khodosova, and Nikolay Mozgovoy. "INCREASING WATER RESISTANCE OF WOOD WITH IMPREGNANT COMPOSITION BASED ON VEGETABLE OIL WITH SILICON DIOXIDE NANOPOWDER." Forestry Engineering Journal 12, no. 2 (July 5, 2022): 68–79. http://dx.doi.org/10.34220/issn.2222-7962/2022.2/6.

Full text
Abstract:
The aim of the work was to develop and study new compositions for the treatment of natural wood based on used vegetable oil with the addition of nanosized silicon oxide to obtain a wood composite with improved properties. Specimens of birch wood were chosen as objects of the study. The basis of the developed impregnating compositions was used frying vegetable oil. The compositions were supplemented with additives of amorphous and crystalline silicon oxide nanopowders at a dosage of 0.01 to 0.5%. To modify wood, a stable suspension of synthesized silicon oxide nanopowders in used sunflower oil was prepared. The processing of wood specimens was carried out by the method of "hot-cold impregnation". The obtained wood composites with the addition of nanosized silicon oxide had improved hydrophobic properties of wood (an increase in the contact angle by 30%), increased moisture and water resistance (by 11 and 14 times, respectively), as well as reduced swelling in the tangential (by 4 times) and radial (5 times) directions in comparison with natural wood after 1 day of testing. The optimal dosage of silicon oxide nanopowders (0.01%) was chosen. A comparative evaluation of the use of amorphous and crystalline silicon oxides in impregnating compositions based on used vegetable oil was carried out using birch wood as an example. Impregnation compositions based on waste vegetable oil have low toxicity and their use makes it possible to dispose of production waste
APA, Harvard, Vancouver, ISO, and other styles
27

Yamajala, Rajesh B. R. D. "Synthesis and characterization of penta-coordinated 2- and 4-substituted pyridine N-oxide silicon complexes." Mapana Journal of Sciences 19, no. 2 (April 1, 2020): 11–19. http://dx.doi.org/10.12723/mjs.53.2.

Full text
Abstract:
Novel penta-coordinated 2- and 4-substituted pyridine N-oxide silicon complexes were synthesized by the reaction of various 2- and 4-substituted pyridine N-oxides with silicon pinacolate. These complexes were characterized by 29Si NMR, 1H NMR and 13C NMR spectroscopy. The objectives of the present work is the study of influence of substitution at either 4 or 2-position of the pyridine N-oxide on the effect of the profile of pentacoordination.
APA, Harvard, Vancouver, ISO, and other styles
28

Ferraioli, L., M. Wang, G. Pucker, D. Navarro-Urrios, N. Daldosso, C. Kompocholis, and L. Pavesi. "Photoluminescence of Silicon Nanocrystals in Silicon Oxide." Journal of Nanomaterials 2007 (2007): 1–5. http://dx.doi.org/10.1155/2007/43491.

Full text
Abstract:
Recent results on the photoluminescence properties of silicon nanocrystals embedded in silicon oxide are reviewed and discussed. The attention is focused on Si nanocrystals produced by high-temperature annealing of silicon rich oxide layers deposited by plasma-enhanced chemical vapor deposition. The influence of deposition parameters and layer thickness is analyzed in detail. The nanocrystal size can be roughly controlled by means of Si content and annealing temperature and time. Unfortunately, a technique for independently fine tuning the emission efficiency and the size is still lacking; thus, only middle size nanocrystals have high emission efficiency. Interestingly, the layer thickness affects the nucleation and growth kinetics so changing the luminescence efficiency.
APA, Harvard, Vancouver, ISO, and other styles
29

Oudot, Evan, Mickael Gros-Jean, Kristell Courouble, Francois Bertin, Romain Duru, Névine Rochat, and Christophe Vallée. "Hydrogen passivation of silicon/silicon oxide interface by atomic layer deposited hafnium oxide and impact of silicon oxide underlayer." Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 36, no. 1 (January 2018): 01A116. http://dx.doi.org/10.1116/1.4999561.

Full text
APA, Harvard, Vancouver, ISO, and other styles
30

Boher, Pierre. "Silicon/silicon oxide and silicon/silicon nitride multilayers for extreme ultraviolet." Optical Engineering 30, no. 8 (1991): 1049. http://dx.doi.org/10.1117/12.55920.

Full text
APA, Harvard, Vancouver, ISO, and other styles
31

Ding, Kaining, Urs Aeberhard, Friedhelm Finger, and Uwe Rau. "Silicon heterojunction solar cell with amorphous silicon oxide buffer and microcrystalline silicon oxide contact layers." physica status solidi (RRL) - Rapid Research Letters 6, no. 5 (March 13, 2012): 193–95. http://dx.doi.org/10.1002/pssr.201206030.

Full text
APA, Harvard, Vancouver, ISO, and other styles
32

Romanov, I. A., L. A. Vlasukova, F. F. Komarov, I. N. Parkhomenko, N. S. Kovalchuk, M. A. Mohovikov, A. V. Mudryi, and O. V. Milchanin. "Photo- and electroluminescence of oxide-nitride-oxide-silicon structures for silicon-based optoelectronics." Doklady of the National Academy of Sciences of Belarus 62, no. 5 (October 30, 2018): 546–54. http://dx.doi.org/10.29235/1561-8323-2018-62-5-546-554.

Full text
Abstract:
Oxide-nitride-oxide-silicon (SiO2/SiN0.9/SiO2/Si) structures have been fabricated by chemical vapor deposition. The elemental composition and light emission properties of “SiO2/SiN0.9/SiO2/Si” structures have been studied using Rutherford backscattering spectroscopy (RBS), photo- and electroluminescence (Pl, El). The RBS measurements has shown the presence of an intermediate silicon oxynitride layers at the SiO2–SiN0.9 interfaces.It has been shown that the photoluminescence of the SiO2/SiN0.9/SiO2/Si structure is due to the emission of a SiN0.9 layer, and the electroluminescence is attributed to the emission of silicon oxide and oxynitride layers. A broad intense band with a maximum at 1.9 eV dominates the Pl spectrum. This band attributed to the radiative recombination of excited carriers between the band tail states of the SiN0.9 layer. The origin of the less intense Pl band at 2.8 eV is associated with the presence of nitrogen defects in the silicon nitride.El was excited in the electrolyte-dielectric-semiconductor system. The electric field strength in the SiO2 layers reached 7–8 MV/cm and exceeded this parameter in nitride layer nearly four times. The electrons accelerating in electric field of 7–8 MV/cm could heat up to energies more than 5 eV. It is sufficient for the excitation of luminescence centres in the silicon oxide and oxynitride layers. The SiO2/SiN0.9/SiO2/Si composition El bands with quantum energies of 1.9 and 2.3 eV are related to the presence of silanol groups (Si–OH) and three-coordinated silicon atoms (≡Si•) in the silicon oxide layers. The El band with an energy of 2.7 eV is attributed to the radiative relaxation of silylene (O2=Si:) centers in the silicon oxynitride regions. It is observed the least reduction of this band intensity under the influence of strong electric fields after a charge flow of 1–3 C/cm2.
APA, Harvard, Vancouver, ISO, and other styles
33

Zamoryanskaya, M. V., and V. I. Sokolov. "Cathodoluminescence study of silicon oxide-silicon interface." Semiconductors 41, no. 4 (April 2007): 462–68. http://dx.doi.org/10.1134/s1063782607040203.

Full text
APA, Harvard, Vancouver, ISO, and other styles
34

Wong, C. Y., C. R. M. Grovenor, P. E. Batson, and R. D. Isaac. "Arsenic segregation to silicon/silicon oxide interfaces." Journal of Applied Physics 58, no. 3 (August 1985): 1259–62. http://dx.doi.org/10.1063/1.336118.

Full text
APA, Harvard, Vancouver, ISO, and other styles
35

Onanko, Anatolij P., Oleg V. Lyashenko, Inna O. Lyashenko, and Yurij A. Onanko. "Acoustic attenuation in silicon and silicon oxide." Journal of the Acoustical Society of America 123, no. 5 (May 2008): 3701. http://dx.doi.org/10.1121/1.2935110.

Full text
APA, Harvard, Vancouver, ISO, and other styles
36

Li, Ling-Guang, Örjan Vallin, Jun Lu, Ulf Smith, Hans Norström, and Jörgen Olsson. "Oxide-Free Silicon to Silicon Carbide Heterobond." ECS Transactions 16, no. 8 (December 18, 2019): 377–83. http://dx.doi.org/10.1149/1.2982890.

Full text
APA, Harvard, Vancouver, ISO, and other styles
37

Oberemok, O. S. "Formation of silicon nanoclusters in buried ultra-thin oxide layers." Semiconductor Physics Quantum Electronics and Optoelectronics 14, no. 3 (September 25, 2011): 269–72. http://dx.doi.org/10.15407/spqeo14.03.269.

Full text
APA, Harvard, Vancouver, ISO, and other styles
38

Saga, Koichiro. "Diffusion Behavior of Transition Metals Penetrating Silicon Substrate through Silicon Dioxides by Dopant Ion Implantation." Solid State Phenomena 195 (December 2012): 261–64. http://dx.doi.org/10.4028/www.scientific.net/ssp.195.261.

Full text
Abstract:
Metallic contamination on silicon surfaces has a detrimental impact on ULSI device performance and yield. Surface metal impurities degrade gate oxide integrity while metal impurities dissolved in silicon cause recombination centers and result in junction leakage. Surface metal impurities penetrate silicon by the colliding with dopant during ion implantation and are also diffused in silicon by subsequent annealing [. The diffusion behavior of metal impurities in silicon is well-known [. While metal impurities often penetrate silicon through the silicon oxide in ULSI processing, little work has been reported on the diffusion behavior of metal impurities penetrating silicon oxide. We demonstrated the diffusion behavior of metal impurities penetrating silicon substrates with different thickness of silicon oxide by the collision with dopant during ion implantation.
APA, Harvard, Vancouver, ISO, and other styles
39

Zamoryanskaya, M. V., and V. I. Sokolov. "The Employment of Cathodoluminescent Method for Characterization of Silicon Oxide - Silicon Interface." Solid State Phenomena 108-109 (December 2005): 649–54. http://dx.doi.org/10.4028/www.scientific.net/ssp.108-109.649.

Full text
Abstract:
In this work we studied the cathodoluminescence (CL) of thin silicon oxide and natural silicon oxide grown on different types of silicon substrates (p-silicon and n-silicon with different content of boron and phosphor). At the same time we studied the distribution of intrinsic defects on depth for thermal silicon oxide films with depth resolution 10-20 nm. The method of local cathodoluminescence was used for definition the structure defects in SiO2 think layer and control of the quality of SiO2-Si interface.
APA, Harvard, Vancouver, ISO, and other styles
40

Hoop, Kelly A., David C. Kennedy, Trevor Mishki, Gregory P. Lopinski, and John Paul Pezacki. "Silicon and silicon oxide surface modification using thiamine-catalyzed benzoin condensations." Canadian Journal of Chemistry 90, no. 3 (March 2012): 262–70. http://dx.doi.org/10.1139/v11-157.

Full text
Abstract:
The benzoin condensation that involves the umpolung coupling of two aldehyde groups has been applied to the formation of functionalized silicon and silicon oxide surfaces using thiamine and other N-heterocyclic carbene (NHC) catalysis in water. This bioorthogonal conjugation of an aldehyde to a modified silicon or silicon oxide surface has been monitored and characterized using X-ray photoelectron spectroscopy and IR spectroscopy. NHC catalysis was found to be efficient in water mediating full conversion of the aldehyde functionalized silicon oxide surfaces at the interface.
APA, Harvard, Vancouver, ISO, and other styles
41

Syari’ati, Ali, and Veinardi Suendo. "Effect of Electrochemical Reaction Enviroment on the Surface Morphology and Photoluminescence of Porous Silicon." Materials Science Forum 737 (January 2013): 60–66. http://dx.doi.org/10.4028/www.scientific.net/msf.737.60.

Full text
Abstract:
Porous silicon (p-Si) is a well-known silicon based material that can emit visible light at room temperature. The radiative recombination that originated from quantum confinement effect shows photoluminescence (PL) in red, while the defect on silicon oxide at the surface of p-Si shows in blue-green region. Porous silicon can be synthesized through two methods; wet-etching and electrochemical anodization using hydrofluoric acid as the main electrolyte. The electrochemical anodization is more favorable due to faster etching rate at the surface than the conventional wet-etching method. The objective of this research is to show that both of porous silicons can be synthesized using the same main electrolyte but by varying the reaction environment during anodization/etching process. Here, we shows the wet-etching method that enhanced by polarization concentration will produce porous silicon with silicon oxide defects by means blue-green emission, while direct electrochemical anodization will produce samples that emit red PL signal. The effect of introducing KOH into the electrolyte was also studied in the case of enhanced-wet-etching method. Surface morphology of porous silicon and their photoluminescence were observed by Scanning Electron Microscope and PL spectroscopy, respectively.
APA, Harvard, Vancouver, ISO, and other styles
42

Kimura, Yuki, Hiroshi Ueno, Hitoshi Suzuki, Takeshi Sato, Toshiaki Tanigaki, Osamu Kido, Chihiro Kaito, and Yoshio Saito. "Dynamic Behavior of a Silicon Oxide Layer on Silicon Ultrafine Particles." Surface Review and Letters 10, no. 02n03 (April 2003): 361–64. http://dx.doi.org/10.1142/s0218625x03004986.

Full text
Abstract:
In order to clarify the high-temperature behavior of a silicon oxide layer on the surface of Si ultrafine particles, the oxide layer has been studied using the atomic-resolution high-temperature stage of a transmission electron microscope. The natural oxide layer grown on Si ultrafine particles by exposure to air was an amorphous silicon oxide layer with a thickness of 1.5 nm. This oxide layer started to dissolve into the Si crystal upon heating at 500°C, and was fully dissolved into the Si crystal at 600°C in vacuum. When the specimen was cooled back to room temperature, the silicon oxide layer reappeared on the Si surface. This phenomenon, which can be detected only at high temperatures, is presented in this paper.
APA, Harvard, Vancouver, ISO, and other styles
43

Pantel, R., E. Sondergard, D. Delille, and L. F. Tz Kwakman. "Quantitative Thickness Measurements of Thin Oxides Using Low Energy Loss Filtered TEM Imaging." Microscopy and Microanalysis 7, S2 (August 2001): 560–61. http://dx.doi.org/10.1017/s1431927600028877.

Full text
Abstract:
A technique for very thin silicon oxide measurements using energy filtered TEM (EFTEM) is presented and applied for BiCMOS technology optimization.In advanced VLSI circuits, thin silicon oxide layers are used as critical part of active devices such as MOS or bipolar transistors (BiCMOS). Today the 2 nm thick gate oxides of the 0.12 urn generation MOS transistors can be controlled using high resolution TEM (HRTEM). However, for the next generations these measurements will become difficult or will necessitate Cs corrected microscopes'. For the NPN bipolar transistor very thin oxides (less than 0.5 nm) are used at the base-emitter interface to control the forward base (hole) current and improve the transistor current gain. This interface presents generally some roughness and the oxide control is impossible using HRTEM. in this communication we demonstrate a new technique for very thin oxide measurement using low loss energy filtered TEM (EFTEM).
APA, Harvard, Vancouver, ISO, and other styles
44

Whyte Ferreira, Clara, Roselien Vercauteren, and Laurent Francis. "Passivated Porous Silicon Membranes and Their Application to Optical Biosensing." Micromachines 13, no. 1 (December 22, 2021): 10. http://dx.doi.org/10.3390/mi13010010.

Full text
Abstract:
A robust fabrication method for stable mesoporous silicon membranes using standard microfabrication techniques is presented. The porous silicon membranes were passivated through the atomic layer deposition of different metal oxides, namely aluminium oxide Al2O3, hafnium oxide HfO2 and titanium oxide TiO2. The fabricated membranes were characterized in terms of morphology, optical properties and chemical properties. Stability tests and optical probing noise level determination were also performed. Preliminary results using an Al2O3 passivated membranes for a biosensing application are also presented for selective optical detection of Bacillus cereus bacterial lysate. The biosensor was able to detect the bacterial lysate, with an initial bacteria concentration of 106 colony forming units per mL (CFU/mL), in less than 10 min.
APA, Harvard, Vancouver, ISO, and other styles
45

Zamoryanskaya, M. V., and V. I. Sokolov. "Characterization of SiO2/Si Interface by Cathodoluminescent Method." Solid State Phenomena 131-133 (October 2007): 629–34. http://dx.doi.org/10.4028/www.scientific.net/ssp.131-133.629.

Full text
Abstract:
The local cathodoluminescence is used to study the point defects and their depth distribution in silicon oxide and silicon. The defects formed by two-coordinate silicon (Si=Si), oxygen vacancies (Si-Si, Si-Si-Si), non-bridgen oxygen (-O.), amorphous silicon and silicon nanoclusters have characteristic emission bands. High sensibility of cathodoluminescence method permits to study natural silicon oxide film, thin silicon oxide and silicon layers near the interface. In this paper an influence of the silicon type on the properties of SiO2/Si interface is discussed. It is shown that the quality of SiO2/Si interface depends not only on the technology process but on the silicon type and activator concentration. A high boron content in silicon leads to an increase of point defects concentration in silicon oxide and sometimes to an appearance of Si nanoclusters near the interface in the layer with 10-20 nm thickness. The concentration of intrinsic defects near the silicon oxide – n-silicon interface depends also on phosphorous concentration. But in this case low concentration of activator leads to a presence of high content of intrinsic defects in the first monolayers of silicon oxide and to a dramatical decrease of a content of these defects in films with thickness of more that 10 nm. On the contrary, the high concentration of activators leads to low content of intrinsic defects near the interface and a rise of its content in the film with thickness more that 10 nm.
APA, Harvard, Vancouver, ISO, and other styles
46

Moshkriz, Ali, Zahra Shahroodi, and Reza Darvishi. "Fabrication of novel strain sensors from green TPV nanocomposites based on poly(3-hydroxybutyrate-co-3-hydroxyvalerate) (PHBV)/silicone rubber/silicon-modified graphene oxide." RSC Advances 13, no. 26 (2023): 17818–33. http://dx.doi.org/10.1039/d3ra02940a.

Full text
Abstract:
This study demonstrates the improved mechanical and electrical properties of PHBV TPV by adding silicone rubber/silicon-modified graphene oxide nanoparticles. Excellent stretchability, sensitivity, and repeatability is exhibited, ideal for biomedical strain sensors.
APA, Harvard, Vancouver, ISO, and other styles
47

Li, Rong, Rong Zhen Liu, Gao Jian Liu, and Zhi Hao Jin. "Effects of Additives Content on the Properties of Porous SiC Ceramics." Materials Science Forum 868 (August 2016): 89–94. http://dx.doi.org/10.4028/www.scientific.net/msf.868.89.

Full text
Abstract:
The porous silicon carbide ceramics were fabricated by adding a variety of low melting point oxides in this paper. The additives were mullite, magnesium oxide, calcium oxide, and vanadium oxide. Effects of the additives content on the properties of porous silicon carbide ceramics were studied. The results showed that, when the additives content was greater than 2.8%, the apparent porosity, the bending strength, the permeability and the pore size were in an inversely proportional relationship with the additives content, while the content was less than 2.8%, proportional relationship was observed. When the additives content reached 2.8%, the porous SiC ceramics showed the best performances.
APA, Harvard, Vancouver, ISO, and other styles
48

Wang, Gunuk, Yang Yang, Jae-Hwang Lee, Vera Abramova, Huilong Fei, Gedeng Ruan, Edwin L. Thomas, and James M. Tour. "Nanoporous Silicon Oxide Memory." Nano Letters 14, no. 8 (July 8, 2014): 4694–99. http://dx.doi.org/10.1021/nl501803s.

Full text
APA, Harvard, Vancouver, ISO, and other styles
49

Khumalo, Z. M., M. Blumenthal, M. Topić, C. Funke, J. Bollmann, A. Vantomme, and C. Ndlangamandla. "Oxide reduced silicon nanowires." Current Applied Physics 18, no. 5 (May 2018): 576–82. http://dx.doi.org/10.1016/j.cap.2018.02.010.

Full text
APA, Harvard, Vancouver, ISO, and other styles
50

Hwang, Sung Won. "SiOx/C Composite Anode for Lithium-Ion Battery with Improved Performance Using Graphene Quantum Dots and Carbon Nanoparticles." Molecules 29, no. 11 (May 30, 2024): 2578. http://dx.doi.org/10.3390/molecules29112578.

Full text
Abstract:
In this study, a composite was manufactured by mixing graphene quantum dots, silicon oxide, and carbon nanoparticles, and the characteristics of the anode materials for secondary batteries were examined. To improve the capacity of the graphene quantum dot (GQD) anode material, the added silicon oxide content was varied among 0, 5, 10, 15, and 30 wt%, and carbon nanoparticles were added as a structural stabilizer to alleviate silicon oxide volume expansion. The physical properties of the prepared GQD/SiOx/C composite were investigated through XRD, SEM, EDS, and powder resistance analysis. Additionally, the electrochemical properties of the manufactured composite were observed through an analysis of the charge–discharge cycle, rate, and impedance of a lithium secondary battery. In the GQD/SiOx/C composite, by adding carbon nanoparticles, an internal cavity was formed that can alleviate the volume expansion of silicon oxide, and the carbon nanoparticles and silicon oxide particles were uniformly distributed. The formed internal cavity had a silicon oxide content of 5 wt%. Low initial efficiency was observed, and above 30 wt%, low cycle stability was observed. The GQD/SiOx/C composite with 15 wt% of silicon oxide added showed an initial discharge capacity of 595 mAh/g, a capacity retention rate of 92%, and a rate characteristic of 81 at 2 C/0.1 C. Silicon oxide was added to improve the capacity of the anode material, and carbon nanoparticles were added as a structural stabilizer to buffer the volume change of the silicon oxide. To use GQD/SiOx/C composite as a highly efficient anode material, the optimal silicon oxide content and carbon nanoparticle mechanism as a structural stabilizer were discussed.
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography