Journal articles on the topic 'Silicide concentration'

To see the other types of publications on this topic, follow the link: Silicide concentration.

Create a spot-on reference in APA, MLA, Chicago, Harvard, and other styles

Select a source type:

Consult the top 50 journal articles for your research on the topic 'Silicide concentration.'

Next to every source in the list of references, there is an 'Add to bibliography' button. Press on it, and we will generate automatically the bibliographic reference to the chosen work in the citation style you need: APA, MLA, Harvard, Chicago, Vancouver, etc.

You can also download the full text of the academic publication as pdf and read online its abstract whenever available in the metadata.

Browse journal articles on a wide variety of disciplines and organise your bibliography correctly.

1

Pretorius, R., and J. W. Mayer. "Silicide formation by concentration controlled phase selection." Journal of Applied Physics 81, no. 5 (March 1997): 2448–50. http://dx.doi.org/10.1063/1.364252.

Full text
APA, Harvard, Vancouver, ISO, and other styles
2

De Avillez, R. R., L. A. Clevenger, C. V. Thompson, and K. N. Tu. "Quantitative investigation of titanium/amorphous-silicon multilayer thin film reactions." Journal of Materials Research 5, no. 3 (March 1990): 593–600. http://dx.doi.org/10.1557/jmr.1990.0593.

Full text
Abstract:
Growth of amorphous-titanium-silicidc and crystalline C49 TiSi2 in titanium/amorphous-silicon multilayer films was investigated using a combination of differential scanning calorimetry (DSC), thin film x-ray diffraction, Auger depth profiling, and cross-sectional transmission electron microscopy. The multilayer films had an atomic concentration ratio of 1Ti to 2Si and a modulation period of 30 nm. In the as-deposited condition, a thin amorphous-titanium-silicide layer was found to exist between the titanium and silicon layers. Heating the multilayer film from room temperature to 700 K caused the release of an exothermic heat over a broad temperature range and an endothermic heat over a narrow range. The exothermic hump was attributed to thickening of the amorphous-titanium silicide layer, and the endothermic step was attributed to the homogenization and/or densification of the amorphous-silicon and amorphous-titanium-silicide layers. An interpretation of previously reported data for growth of amorphous-titanium-silicide indicates an activation energy of 1.0 ± 0.1 eV and a pre-exponential coefficient of 1.9 × 10−7 cm2/s. Annealing at high temperatures caused formation of C49 TiSi2 at the amorphous-titanium-silicide/amorphous-silicon interfaces with an activation energy of 3.1 ± 0.1 eV. This activation energy was attributed to both the nucleation and the early stages of growth of C49 TiSi2. The heat of formation of C49 TiSi2 from a reaction of amorphous-titanium-silicide and crystalline titanium was found to be –25.8 ± 8.8 kJ/mol and the heat of formation of amorphous-titanium-silicide was estimated to be –130.6 kJ/mol.
APA, Harvard, Vancouver, ISO, and other styles
3

HOU, Q. R., Z. M. WANG, and Y. J. HE. "THE EFFECT OF OXYGEN ON THE FORMATION OF MANGANESE SILICIDE." Modern Physics Letters B 16, no. 28n29 (December 20, 2002): 1135–42. http://dx.doi.org/10.1142/s0217984902004664.

Full text
Abstract:
The behavior of oxygen impurities during thermal annealing of manganese-silicon diffusion couples and reactive deposition of MnSi 1.7 films has been studied. Samples were prepared by reactive deposition or thermal evaporation of manganese on silicon (100) substrates, which were then annealed in vacuum. The investigation techniques included depth profiling using Auger electron spectroscopy and electrical resistance measurements. The oxygen contamination originated from the preparation chamber or exposing the sample to air before thermal annealing. The oxygen diffused into the manganese film and blocked the silicide formation. For reactive deposition of the MnSi 1.7 films, the competition between silicide formation and oxygen diffusion resulted in the formation of silicide films with oxygen concentration of about 5 at% or the diffusion of oxygen in the manganese film with oxygen concentration of about 35 at%. The presence of a higher concentration of oxygen in the manganese layer prevented any silicide formation.
APA, Harvard, Vancouver, ISO, and other styles
4

Nakamura, Kozo, and Junsuke Tomioka. "Effect of Oxygen Precipitates on the Surface-Precipitation of Nickel on Cz-Silicon Wafers." Solid State Phenomena 108-109 (December 2005): 103–8. http://dx.doi.org/10.4028/www.scientific.net/ssp.108-109.103.

Full text
Abstract:
This paper presents a model for the analysis of the surface nucleation and growth of Ni silicide on silicon wafers contaminated by Ni. The model can additionally be used to characterize the gettering reaction of Ni induced by oxygen precipitates. We also discuss the relation between the surface precipitation of Ni silicide and the gettering ability of oxygen precipitate. The surface precipitation of Ni silicide depends on the total surface area of oxide precipitates. When the total surface area of the oxide precipitates exceeds the critical value, the surface precipitation is rapidly suppressed. Our model can explain the phenomenon of the gettering threshold in the following manner. 1) The gettering of Ni by oxygen precipitates is a reaction-limited process at the interface between oxygen precipitate and silicon, as Sueoka proposed. 2) The residual Ni concentration in this reaction-limited gettering process continuously decreases as the total surface area of the oxide precipitates increases. 3) The surface precipitation of Ni silicide is rapidly suppressed when the residual Ni concentration falls below the critical concentration. Our calculation results correspond well with the experimental results.
APA, Harvard, Vancouver, ISO, and other styles
5

Vantomme, A., S. Degroote, J. Dekoster, G. Langouche, and R. Pretorius. "Concentration-controlled phase selection of silicide formation during reactive deposition." Applied Physics Letters 74, no. 21 (May 24, 1999): 3137–39. http://dx.doi.org/10.1063/1.124090.

Full text
APA, Harvard, Vancouver, ISO, and other styles
6

Shenai, Krishna. "Thermal stability of TiSi2 films on single crystal and polycrystalline silicon." Journal of Materials Research 6, no. 7 (July 1991): 1502–11. http://dx.doi.org/10.1557/jmr.1991.1502.

Full text
Abstract:
The stability of selectively formed TiSi2 films on single crystal and polycrystalline silicon layers at elevated process temperatures is reported. Extensive electrical and analytical studies were performed to understand the high-temperature stability of TiSi2 films as a function of (i) substrate dopant concentration, (ii) titanium silicide thickness, (iii) silicide formation sequence, and (iv) silicide post-processing steps. It is shown that all four process variations have a profound influence on the thermal stability of TiSi2 films. It is observed that titanium silicide films formed on single crystal silicon are stable at higher processing temperatures compared to those formed on polysilicon substrates under similar conditions. The degradation of high-temperature stability of TiSi2 films on polycrystalline silicon can be related to increased number of defects and grain boundaries. It is shown that TiSi2 films can be successfully used in silicon integrated circuit applications where the post-silicide processing temperatures do not exceed 1000 °C.
APA, Harvard, Vancouver, ISO, and other styles
7

Celano, Umberto, Lennaert Wouters, Alexis Franquet, Valentina Spampinato, Paul van der Heide, Marc Schaekers, Abhijeet Joshi, and Bulent M. Basol. "Dopant Activation Depth Profiling for Highly Doped Si:P By Scanning Spreading Resistance Microscopy (SSRM) and Differential Hall Effect Metrology (DHEM)." ECS Transactions 108, no. 5 (May 20, 2022): 9–15. http://dx.doi.org/10.1149/10805.0009ecst.

Full text
Abstract:
Heavily P-doped epitaxial Si layers were deposited over counter-doped Si substrates. P dopant activation was studied as a function of various processes used to prepare the samples. For electrical characterization, depth profiles of carrier concentration values were obtained using SSRM and DHEM techniques. Samples studied included an in-situ doped sample, a sample that was spike annealed at 1000ºC, a sample that was first coated with a Ti/TiN stack and then annealed for silicide formation before the Ti/TiN stack was removed, and a sample that was spike annealed + coated with Ti/TiN + annealed for silicide formation before the removal of the Ti/TiN stack. DHEM analysis showed substantial increase in dopant activation for spike annealed samples. SSRM results suggested lower carrier concentration values and more limited degree of activation. Formation of Ti/TiN contacts and its removal did not affect the carrier concentration values for the as-deposited epi layer. Sample that was first spike annealed and then subjected to contact formation process displaced a reduction in carrier concentration values as measured by DHEM.
APA, Harvard, Vancouver, ISO, and other styles
8

Liu, Wei-Di, Xiao-Lei Shi, Raza Moshwan, Qiang Sun, Lei Yang, Zhi-Gang Chen, and Jin Zou. "Effectively restricting MnSi precipitates for simultaneously enhancing the Seebeck coefficient and electrical conductivity in higher manganese silicide." Journal of Materials Chemistry C 7, no. 24 (2019): 7212–18. http://dx.doi.org/10.1039/c9tc01937e.

Full text
Abstract:
Introducing Mg2Si into higher manganese silicide synthesis successfully suspended the precipitation of MnSi, leading to reduced effective mass, reduced optimized carrier concentration, and enhanced figure of merit, zT.
APA, Harvard, Vancouver, ISO, and other styles
9

Topuria, T., N. D. Browning, and Z. Ma. "Characterization of the Source/Drain Region in Mos Devices by Scanning Transmission Electron Microscopy." Microscopy and Microanalysis 7, S2 (August 2001): 210–11. http://dx.doi.org/10.1017/s1431927600027124.

Full text
Abstract:
The advancement of metal-oxide-semiconductor (MOS) technology towards sub- 100nm device dimensions presents several technical difficulties. Nanoscaling in MOS devices is specifically governed by difficulties in the formation of ultrashallow junctions for the source/drain regions with the requirement of low resistance and low leakage currents. The use of a silicide (forming Schottky contacts at the source and drain) instead of the conventional ion implanted Si for the contacts allows a reduction in the contact area to be made, due to lower serial resistance per unit area of the silicide. According to the specific contact resistance dependence on the Schottky barrier height (ΦSB) and active dopant concentration (ND),
APA, Harvard, Vancouver, ISO, and other styles
10

Суворова, Е. И., Ф. Ю. Соломкин, Н. А. Архарова, Н. В. Шаренкова, and Г. Н. Исаченко. "Микроструктура и фазовый состав сплава дисилицидов железа и хрома." Физика и техника полупроводников 56, no. 2 (2022): 187. http://dx.doi.org/10.21883/ftp.2022.02.51960.33.

Full text
Abstract:
The phase composition, microstructure, and interphase interfaces of the disordered CrSi2-FeSi2 solid solution obtained by spontaneous crystallization (before and after annealing) have been investigated by scanning, transmission electron microscopy, electron diffraction, and X-ray energy dispersive spectrometry. The as-grown samples contained the phases of CrSi2 with the P6422 hexagonal structure and FeSi2 with the P4/mmm tetragonal structure. Annealing of the samples led to the phase transformation of tetragonal FeSi2 into the orthorhombic modification Cmca. Precipitates of cubic iron monosilicide FeSi with space group P213, nano-precipitates of Si and silicon silicide Cr5Si3 with a tetragonal structure I4/mcm were observed inside the FeSi2 grains. Impurities of interstitial Cr atoms with a concentration up to 2.0 at% are found in iron (di)silicides grains in all samples. The structure of the CrSi2 phase remains unchanged after annealing; the concentration of impurity iron atoms is about 0.7 at%. Orientation relationships between the crystal lattices of the phases are established and strains due to the mismatch of the crystal lattices are determined.
APA, Harvard, Vancouver, ISO, and other styles
11

Larionov, A. V., K. V. Pikulin, S. V. Zhidovinova, and L. Yu Udoeva. "Yttrium effect on the structural-phase state in situ of Mo – 15.3 V – 10.5 Si composite." Perspektivnye Materialy, no. 7 (2020): 19–28. http://dx.doi.org/10.30791/1028-978x-2020-7-19-28.

Full text
Abstract:
The effect of yttrium on the structural-phase state of the Mo – 15.3 V – 10.5 Si hypereutectic alloy has been investigated using X-ray phase analysis and scanning electron microscopy with energy-dispersive X-ray analysis. It has been established that the main phases of Mo – (14.3 – 15.4) V – (9.8 – 10.6) Si – (0.3 – 5.3) Y alloys obtained under nonequilibrium crystallization are the metal solid solution (Mo1 – xVx)ss-matrix, silicide solid solution (Mo1 – xVx)3Si and silicide Y5Si3. In alloys doped with yttrium up to 1.0 at. %, the space between the dendrites of the (Mo1 – xVx)ss metal phase is filled with (Mo1 – xVx)3Si solid solution, and Y5Si3 is located at the boundaries of the metal solid solution. At a concentration of yttrium in alloys above 3.0 at. % the space between (Mo1 – xVx)ss dendrites is filled with Y5Si3 silicide, inside which (Mo1 – xVx)3Si grains are formed. Triple or quaternary compounds containing yttrium were not detected. Elemental composition of alloy phases of the Mo – (14.3 – 15.4) V – (9.8 – 10.6) Si – (0.3 – 5.3) Y alloys is almost identical and is characterized by non-stoichiometry with respect to silicon. According to well-known literature data, the silicon contents in the (Mo1 – xVx)ss and (Mo1 – xVx)3Si phases are within the acceptable limits of the homogeneity region, and the silicon concentration in Y5Si3 (≈ 35.4 at.%) is beyond the established limits. Doping of the Mo – 15.3 V – 10.5 Si alloy with yttrium increases the dispersion of the structure. Particles of the main structural components become close in size. Wherein the volume ratio of the metallic phase to the silicide with increasing yttrium content in the alloys increases. The density of alloys varies between 8.7 – 9.0 g/cm3.
APA, Harvard, Vancouver, ISO, and other styles
12

Hofstetter, Jasmin, David P. Fenning, Douglas M. Powell, Ashley E. Morishige, and Tonio Buonassisi. "Iron Management in Multicrystalline Silicon through Predictive Simulation: Point Defects, Precipitates, and Structural Defect Interactions." Solid State Phenomena 205-206 (October 2013): 15–25. http://dx.doi.org/10.4028/www.scientific.net/ssp.205-206.15.

Full text
Abstract:
In multicrystalline silicon for photovoltaic applications, high concentrations of iron are usually found, which deteriorate material performance. Due to the limited solubility of iron in silicon, only a small fraction of the total iron concentration is present as interstitial solute atoms while the vast majority is present as iron silicide precipates. The concentration of iron interstitials can be effectively reduced during phosphorus diffusion gettering (PDG), but this strongly depends on the size and density of iron precipitates, which partly dissolve during high-temperature processing. The distribution of precipitated iron varies along the height of a mc-Si ingot and is not significantly reduced during standard PDG steps. However, the removal of both iron interstitials and precipitates can be enhanced by controlling their kinetics through carefully engineered time-temperature profiles, guided by simulations.
APA, Harvard, Vancouver, ISO, and other styles
13

Nelson, Jack, Mohammad Ghadyani, Claire Utton, and Panos Tsakiropoulos. "A Study of the Effects of Al, Cr, Hf, and Ti Additions on the Microstructure and Oxidation of Nb-24Ti-18Si Silicide Based Alloys." Materials 11, no. 9 (September 1, 2018): 1579. http://dx.doi.org/10.3390/ma11091579.

Full text
Abstract:
In Nb-silicide based alloys Al, Cr, Hf, and Ti additions are crucial for achieving balance of properties. It is not known how the simultaneous addition of Hf with Al and Ti, or Hf with Al, Cr, and Ti affects macrosegregation, and how the alloying affects hardness, Young’s modulus and bulk alloy oxidation, and contamination of the solid solution Nbss and the Nb5Si3 compound by oxygen. Two alloys with nominal compositions (at.%) Nb-24Ti-18Si-5Al-5Hf (alloy NbSiTiHf-5Al) and Nb-24Ti-18Si-5Al-5Cr-5Hf (alloy NbSiTiHf-5Al-5Cr) were studied in the as-cast and heat-treated conditions and after isothermal oxidation at 800 and 1200 °C and were compared with similar alloys without Hf. In both alloys there was macrosegregation of Si and Ti, which was more severe in NbSiTiHf-5Al. Both alloys formed Nbss+βNb5Si3 eutectic. The Nbss was stable and its Al and Cr concentrations increased with increasing Ti concentration. In both conditions the βNb5Si3 was observed in the alloys NbSiTiHf-5Al and NbSiTiHf-5Al-5Cr, and the γNb5Si3 only in the alloy NbSiTiHf-5Al. In both heat-treated alloys, separate Hf-rich Nb5Si3 grains were formed. The Si and Al concentrations in Nb5Si3 respectively decreased and increased with increasing Ti concentration. Al and Cr had a stronger hardening effect in the Nbss than Al, Cr, and Hf. Al, Cr, and Ti had a stronger negative effect on the Young’s modulus of the Nbss compared with Al, Cr, Hf, and Ti. When Nb was substituted by Ti, Cr, and Hf, and Si by Al in the βNb5Si3, the Young’s modulus was reduced compared with the unalloyed silicide. At 800 °C both alloys did not exhibit catastrophic pest-oxidation after 100 h. The Nbss and Nb5Si3 were contaminated by oxygen in both alloys, the former more severely. At 1200 °C the scales spalled-off, more severely in the alloy NbSiTiHf-5Al, where substrate that was heavily contaminated by oxygen below the scale also spalled-off. In both alloys the contamination of Nb5Si3 and Nbss by oxygen was more severe compared with 800 °C, but the silicides were not contaminated by oxygen in their bulk. The Nbss was not contaminated by oxygen only in the bulk of the alloy NbSiTiHf-5Al-5Cr.
APA, Harvard, Vancouver, ISO, and other styles
14

Huang, Wei-Jie, Yu-Yang Chen, Hsiu-Ming Hsu, and Kuo-Chang Lu. "Single Crystalline Iron Silicide and Beta-Iron Disilicide Nanowires Formed through Chemical Vapor Deposition." Materials 11, no. 12 (November 27, 2018): 2384. http://dx.doi.org/10.3390/ma11122384.

Full text
Abstract:
In this paper, we report the synthesis of iron silicide and β-iron disilicide nanowires with chemical vapor deposition; remarkably, the latter has drawn much attention but has seldom been achieved. We also propose the formation mechanisms for the two phases. To investigate the effects of the growth parameters on compositions and morphologies of the iron silicide nanowires, we changed and studied the reaction time, substrate temperature, position of samples, and pressure. The reaction concentration was found to be altered by all of the parameters; thus, we observed different nanowires in terms of morphologies and compositions with scanning electron microscopy. To confirm the growth direction and crystal structure of the nanowires, we conducted x-ray diffraction and high-resolution transmission electron microscopy studies. With the potential of being utilized as circuit elements in electronic devices for Schottky barriers, ohmic contacts, and interconnection among silicon-based transistors, the silicide work at nanoscale is beneficial for nanoelectronics. Understanding the effects of these growth parameters facilitates the control of nanowire growth with better quality.
APA, Harvard, Vancouver, ISO, and other styles
15

Kitano, Yasuyuki, Koichi Yamamoto, Masashi Wada, Jingtian Yin, Yumio Toda, Koji Tanaka, Eishi Tanabe, Masao Komatsu, and Tetsuo Sakai. "Modulated structures in amorphous films of Cr-silicide prepared by electron-beam-deposition." International Journal of Materials Research 97, no. 3 (March 1, 2006): 310–14. http://dx.doi.org/10.1515/ijmr-2006-0049.

Full text
Abstract:
Abstract Amorphous Cr-silicide films are prepared by electron-beam-deposition on a Cu-substrate at room temperature. The microstructures of as-grown films are investigated using transmission and analytical electron microscopy. The morphology of the amorphous films is dendritic. In addition, one-dimensional concentration modulations are observed. The modulated structure is revealed not only by TEM imaging but also by a series of satellite spots at the centre of the diffraction pattern. This concentration modulation is confirmed by analytical electron microscopy.
APA, Harvard, Vancouver, ISO, and other styles
16

Suvorova E. I., Solomkin F. Yu., Arkharova N. A., Sharenkova N. V., and Isachenko G. N. "Microstructure and phase composition of an alloy of iron and chrome disilicides." Semiconductors 56, no. 2 (2022): 151. http://dx.doi.org/10.21883/sc.2022.02.53041.33.

Full text
Abstract:
The phase composition, microstructure, and interphase interfaces of the disordered CrSi2-FeSi2 solid solution obtained by spontaneous crystallization (before and after annealing) have been investigated by scanning, transmission electron microscopy, electron diffraction, and X-ray energy dispersive spectrometry. The as-grown samples contained the phases of CrSi2 with the P6422 hexagonal structure and FeSi2 with the P4/mmm tetragonal structure. Annealing of the samples led to the phase transformation of tetragonal FeSi2 into the orthorhombic modification Cmca. Precipitates of cubic iron monosilicide FeSi with space group P213, nano-precipitates of Si and silicon silicide Cr5Si3 with a tetragonal structure I4/mcm were observed inside the FeSi2 grains. Impurities of interstitial Cr atoms with a concentration up to 2.0 at% are found in iron (di)silicides grains in all samples. The structure of the CrSi2 phase remains unchanged after annealing; the concentration of impurity iron atoms is about 0.7 at%. Orientation relationships between the crystal lattices of the phases are established and strains due to the mismatch of the crystal lattices are determined. Keywords: chromium disilicide, iron disilicide, interphase interfaces, transmission electron microscopy, energy dispersive X-ray spectrometry.
APA, Harvard, Vancouver, ISO, and other styles
17

Russkikh, M. A., I. S. Polkovnikov, V. V. Panteleeva, and A. B. Shein. "Passivation on manganese monosilicide in sulfuric acid electrolytes." Вестник Пермского университета. Серия «Химия» = Bulletin of Perm University. CHEMISTRY 10, no. 2 (2020): 221–32. http://dx.doi.org/10.17072/2223-1838-2020-2-221-232.

Full text
Abstract:
Several features of the electrode reactions that occur at the surface of transition metal silicide (high strength metal-silicon bond, the effect of chemical reactions and the formation of passivating oxide films) leading to high interest to intermetallic compounds. Anodic behavior of the MnSi electrode in solutions 0.5 M H2SO4 and 0.05 M H2SO4 + 0.45 M Na2SO4 was studied by polarization and impedance measurements. It was concluded that the surface of manganese silicide is coated with an oxide film similar in composition to SiO2. The thickness and resistivity of the oxide film were calculated depending on potential and concentration of sulfuric acid. Generalization and theoretical explanation of the research results may provide a basis for predicting corrosion resistance of metal-silicon alloys in a wide range of corrosive environments.
APA, Harvard, Vancouver, ISO, and other styles
18

de Silva, Milantha, Teruhisa Kawasaki, and Shinichiro Kuroki. "Low Resistance Ti5Si3/TiC Ohmic contact on Ion-Implanted n-Type 4H-SiC C Face." Materials Science Forum 924 (June 2018): 409–12. http://dx.doi.org/10.4028/www.scientific.net/msf.924.409.

Full text
Abstract:
Low-resistance Ohmic contact on n+4H-SiC C-face with Titanium was demonstrated. In a conventional NiSi Ohmic contat on n-type 4H-SiC, a carbon agglomeration at the silicide/SiC interface occurs, and contact resistance becomes larger. For suppressing the carbon agglomeration, laser annealing and Ti metal were introduced to form both silicide and carbide. Ti (75 nm)/SiC and Ni (75 nm)/SiC Ohmic contacts were formed on backside C-face of high concentration impurity doped 4H-SiC substrates with and without activation annealing. Electrical properties were investigated after 40 nanoseconds pulse laser annealing in Ar ambient. As the result, the lowest specific contact resistance of 7.9×10-5Ωcm2was obtained in Ti (75 nm)/SiC sample in the case of ion implanted sample at 500°C and with activation annealing at a laser power of 2.2 J/cm2.
APA, Harvard, Vancouver, ISO, and other styles
19

Sidorenko, S. I., S. M. Voloshko, Yu M. Мakogon, O. P. Pavlov, I. E. Kotenko, S. O. Zamulko, and S. I. Konorev. "Structural and Concentration Heterogeneities during Formation of Silicide Phases in the Thin Film System Ti(5nm)/Ni(24nm)/Si(001)." Defect and Diffusion Forum 344 (October 2013): 79–84. http://dx.doi.org/10.4028/www.scientific.net/ddf.344.79.

Full text
Abstract:
By the methods of Auger-spectroscopy and mass-spectrometry of secondary ions, small-angle electron diffraction, X-ray and resistometry analyses the solid-state reactions in the Ti(5 nm)/ Ni(24 nm)/Si(001) thin film system at annealing in running nitrogen in the temperature interval of 723 – 1273 К are investigated. Regularities of phase transformations, consistency of solid-state reactions, layer-by-layer redistribution of components during annealing, features of surface morphology during formation of inclusions of silicide phases are established.
APA, Harvard, Vancouver, ISO, and other styles
20

Shadrin, Kirill V., Viktoriya Panteleeva, and Anatoly B. Shein. "CORROSION-ELECTROCHEMICAL BEHAVIOR OF IRON DISILICIDE IN SULFURIC ACID ELECTROLYTE." Вестник Пермского университета. Серия «Химия» = Bulletin of Perm University. CHEMISTRY 12, no. 3 (2022): 148–57. http://dx.doi.org/10.17072/2223-1838-2022-3-148-157.

Full text
Abstract:
The corrosion-electrochemical behavior of FeSi2 electrode in 5% H2SO4 and 5% H2SO4 + (0.1–0.5) g/l NaF solutions was studied. It is concluded that in 5% H2SO4 solution with a corrosion potential, an oxide film is formed on the FeSi2 surface, which is similar in composition to SiO2. The oxide film is not reduced at low cathodic polarizations; as a result of anodic polarization, the film grows and is doped with iron oxides. The presence of an oxide film on the silicide surface determines its high chemical resistance. It is shown that the effect of sodium fluoride, which activates the dissolution of FeSi2 electrode in a sulfuric acid solution, is associated with a weakening of the passivating effect of silicon dioxide and iron oxides. With increasing of NaF concentration, the role of iron in the kinetics of electrode processes on silicide increases, while that of silicon decreases. The order of the reaction of FeSi2 dissolution by NaF was calculated depending on the potential.
APA, Harvard, Vancouver, ISO, and other styles
21

Li, Feitao, Siyao Wan, Dong Wang, and Peter Schaaf. "Formation of nanoflowers: Au and Ni silicide cores surrounded by SiOx branches." Beilstein Journal of Nanotechnology 14 (January 20, 2023): 133–40. http://dx.doi.org/10.3762/bjnano.14.14.

Full text
Abstract:
This work reports the formation of nanoflowers after annealing of Au/Ni bilayers deposited on SiO2/Si substrates. The cores of the nanoflowers consist of segregated Ni silicide and Au parts and are surrounded by SiOx branches. The SiO2 decomposition is activated at 1050 °C in a reducing atmosphere, and it can be enhanced more by Au compared to Ni. SiO gas from the decomposition of SiO2 and the active oxidation of Si is the source of Si for the growth of the SiOx branches of the nanoflowers. The concentration of SiO gas around the decomposition cavities is inhomogeneously distributed. Closer to the cavity border, the concentration of the Si sources is higher, and SiOx branches grow faster. Hence, nanoflowers present shorter and shorter branches as they are getting away from the border. However, such inhomogeneous SiO gas concentration is weakened in the sample with the highest Au concentration due to the strong ability of Au to enhance SiO2 decomposition, and nanoflowers with less difference in their branches can be observed across the whole sample.
APA, Harvard, Vancouver, ISO, and other styles
22

Pauleau, Y., F. C. Dassapa, Ph Lami, J. C. Oberlin, and F. Romagna. "Silicide formation in metal/Si structures and diffusion barrier properties of CVD tungsten films." Journal of Materials Research 4, no. 1 (February 1989): 156–62. http://dx.doi.org/10.1557/jmr.1989.0156.

Full text
Abstract:
Tungsten films were deposited on Si substrates by the H2 or Si reduction of WF6 under various experimental conditions. The composition and structure of as-deposited samples as well as the interfacial reactions and interdiffusion of elements in annealed samples were characterized by nuclear reaction analyses, sheet resistance measurements, x-ray diffraction technique, and Rutherford backscattering spectroscopy. The amount of oxygen at W–Si interfaces was found to be dependent on the cleaning treatment of the Si surface used before WF6–Si interaction. The interfacial oxygen concentration was less than 1 ⊠ 1014 at./cm2 (detection limit of the nuclear reaction analysis) and (2–7) ⊠ 1016 at./cm2 using an HF cleaning and the RCA treatment, respectively. For W/Si samples, the formation temperature of WSi2 was dependent on the dopant level in the Si substrates and the oxygen concentration at W–Si interfaces. The silicidation reaction occurred at 625 °C in “oxygen free” W/Si structures while for structures containing interfacial oxygen atoms, this reaction occurred above 800 °C. In Al/W/Si structures, the intermetallic compound, WAl12, was formed by annealing at 450 °C for 90 min. Furthermore, the formation of WSi2 was observed in structures annealed at a temperature in the range of 550 °C–600 °C regardless of the oxygen concentration at the W–Si interface. A model to explain the effect of interfacial oxygen atoms on the silicidation reaction and the influence of the Al overlayer on the thermal stability of Al/W/Si structures is proposed and discussed in this paper.
APA, Harvard, Vancouver, ISO, and other styles
23

Chen, Z. X., Z. Fang, Y. Wang, Y. Yang, A. Kamath, X. P. Wang, N. Singh, G. Q. Lo, D. L. Kwong, and Y. H. Wu. "Impact of Ni Concentration on the Performance of Ni Silicide/HfO2/TiN Resistive RAM (RRAM) Cells." Journal of Electronic Materials 43, no. 11 (July 29, 2014): 4193–98. http://dx.doi.org/10.1007/s11664-014-3309-9.

Full text
APA, Harvard, Vancouver, ISO, and other styles
24

Park, Seran, Hyunsu Shin, Eunjung Ko, and Dae‐Hong Ko. "Effect of P Concentration on Ti Silicide Formation in In‐Situ P Doped Epitaxial Si Films." physica status solidi (a) 216, no. 10 (January 24, 2019): 1800620. http://dx.doi.org/10.1002/pssa.201800620.

Full text
APA, Harvard, Vancouver, ISO, and other styles
25

Larionov, Alexey V., Kirill V. Pikulin, Vladimir M. Chumarev, Lyudmila Y. Udoeva, and Leonid A. Smirnov. "Phase composition and microstructure of Mo-Si-V hypoeutectic alloys obtained under non-equilibrium crystallization conditions." Butlerov Communications 57, no. 1 (January 31, 2019): 136–42. http://dx.doi.org/10.37952/roi-jbc-01/19-57-1-136.

Full text
Abstract:
The phase composition, microstructure are investigated and the densities of Mo-15.3Si (at. %) hypoeutectic alloys alloyed with vanadium are determined. The main phase components of the alloys and their volumetric contents were determined by X-ray phase analysis (XRD) and X-ray microanalysis (RSMA) methods. The unit cell parameters of the main phases of Mo-Si-V alloys are calculated. It is shown that with the introduction of up to 20.0 at. % of vanadium into the hypoeutectic alloy Mo-15.3Si, a structure is formed consisting of two solid solutions: (Mo,V)ss with silicon dissolved in it (up to 5.0 at. %) and (Mo,V)3Si with a silicon deficiency of about 4.0 at. % trelative to the stoichiometric composition. With an increase in the content of vanadium in alloys, the volume ratio of the metal component to the silicide ratio almost doubles. At the same time, the microhardness of the metal phase increases 1.5 times and reaches 887 HV, and the microhardness of silicide, on the contrary, decreases by 1.2 times and is 1057 HV. The dependences of the microhardness of the structural components of the alloys, as well as the parameters of their crystal lattices on the concentration of the alloying element in them, are consistent with the concepts of the formation of substitution solid solutions, both in the metal and in the silicide phases. The introduction of 20.0 at. % of vanadium into the Mo-15.3Si hypoeutectic alloy reduces its density, and, therefore, the mass of the alloy by 10.5% from 9.49 to 8.49 g/cm3.
APA, Harvard, Vancouver, ISO, and other styles
26

Rosales, I., D. Ponce, MJ Garcia-Ramirez, and R. Guardian. "Effect of Chromium Addition on the Cyclic Oxidation Resistance of Pseudo-Binary (Mo,Cr)3 Si Silicide Alloy." High Temperature Materials and Processes 37, no. 9-10 (October 25, 2018): 943–49. http://dx.doi.org/10.1515/htmp-2017-0099.

Full text
Abstract:
AbstractThis paper describes the performance under cyclic oxidation of (Mo,Cr)3 Si compound with different Cr additions for possible coating application. Cyclic oxidation was carried out at 1,000 °C at different intervals during 250 h. Oxidized surface samples were analysed by scanning electron microscope where epitaxial oxide scales were observed mainly in samples with higher Cr content which may provide protection against surface oxidation. X-ray diffraction studies have shown the Cr2O3 and SiO2 formation as the main oxide scale; after analyses, it was found that these oxides are responsible for the best oxidation protection, with 36 at.% Cr being the optimal chromium concentration. At lower chromium concentrations, pest reaction occurred in the oxidized samples at times less than 25 h as a result of the formation of the unstable molybdenum oxide.
APA, Harvard, Vancouver, ISO, and other styles
27

Muret, P., I. Ali, and M. Brunel. "Semiconducting iron silicide thin films on silicon (111) with large Hall mobility and low residual electron concentration." Semiconductor Science and Technology 13, no. 10 (October 1, 1998): 1170–79. http://dx.doi.org/10.1088/0268-1242/13/10/020.

Full text
APA, Harvard, Vancouver, ISO, and other styles
28

Suwa, Motoo. "Influence of silicon concentration and layering of molybdenum silicide on the reliability of Al–Si–Cu interconnections." Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures 9, no. 3 (May 1991): 1487. http://dx.doi.org/10.1116/1.585454.

Full text
APA, Harvard, Vancouver, ISO, and other styles
29

Widanarto, Wahyu, Farzand Abdullatif, Christoph Senft, and Walter Hansch. "Effect of Annealed Si/Ti/Pt Hetero Structure on The Response Time and Signals of Hydrogen Sensors." Indonesian Journal of Physics 22, no. 1 (November 3, 2016): 17–21. http://dx.doi.org/10.5614/itb.ijp.2011.22.1.3.

Full text
Abstract:
Titanium (Ti) and platinum (Pt) films deposited on p-doped Si electrode were incorporated as gas sensitive electrodes in Kelvin and Floating Gate Field Effect Transistor (FG-FET) systems. The films were annealed in oxygen at 800°C. SEM and EDX characterization methods were employed to study the surface of these films. Work function changes with respect to various hydrogen concentrations have been measured as a function of temperature and humidity. The results show that nano grains of titanium silicide (TiSi2) and Pt islands are formed after the annealing. Annealed TiSi2/Pt films are well suitable to significantly stabilize FG-FET based hydrogen sensor. The sensors can detect H2 in concentration range between 0.3% and 2% from room temperature up to 135°C. The response time is quite fast i.e. t90 ~ 43.2 seconds. An experiment on the stability has proved that signal pattern of the sensors remained stable one month after first conditioning.
APA, Harvard, Vancouver, ISO, and other styles
30

Lim, Phyllis S. Y., Rinus T. P. Lee, Mantavya Sinha, Dong Zhi Chi, and Yee-Chia Yeo. "Effect of substitutional carbon concentration on Schottky-barrier height of nickel silicide formed on epitaxial silicon-carbon films." Journal of Applied Physics 106, no. 4 (August 15, 2009): 043703. http://dx.doi.org/10.1063/1.3197144.

Full text
APA, Harvard, Vancouver, ISO, and other styles
31

Panciera, F., K. Hoummada, C. Perrin, M. El Kousseifi, R. Pantel, M. Descoins, M. Gregoire, M. Juhel, and D. Mangelinck. "Ni(Pt)-silicide contacts on CMOS devices: Impact of substrate nature and Pt concentration on the phase formation." Microelectronic Engineering 120 (May 2014): 34–40. http://dx.doi.org/10.1016/j.mee.2013.12.016.

Full text
APA, Harvard, Vancouver, ISO, and other styles
32

Cuong, Vuong Van, Seiji Ishikawa, Hiroshi Sezaki, Tomonori Maeda, Satoshi Yasuno, Tomoyuki Koganezawa, and Shinichiro Kuroki. "Optimization of Ni/Nb Ratio for High-Temperature-Reliable Ni/Nb Silicide Ohmic Contact on 4H-SiC." Materials Science Forum 963 (July 2019): 498–501. http://dx.doi.org/10.4028/www.scientific.net/msf.963.498.

Full text
Abstract:
Low specific contact resistivity and high-temperature reliability of the Ni (x)/Nb (100-x) (where x = 25, 50, 75 nm) ohmic contact to 4H-SiC were investigated. After the annealing process at 1000°C for 3 min in N2 ambient, the I-V curves indicated that all the contacts exhibited the ohmic behaviors. Based on the transfer length method, the specific contact resistivity of the contacts were extracted. High concentration of Ni was responsible for low specific contact resistance of the Ni (75)/Nb (25)/4H-SiC sample by the formation of Ni2Si compound after the fabrication process. However, this contact lost the ohmic behavior at low temperature of 150°C. Whereas, both Ni (50)/Nb (50)/4H-SiC and Ni (25)/Nb (75)/4H-SiC contacts remained the ohmic behavior for 100-hour aging at 400°C. Two-dimensional X-ray diffraction analyses showed that the presence of carbon agglomeration formed at the interface of the Ni (75)/Nb (25)/4H-SiC contact caused the degradation of this sample when being aged at high temperature environment. Meanwhile, higher concentration of Nb in the Ni (50)/Nb (50)/4H-SiC and Ni (25)/Nb (75)/4H-SiC samples improved the ability to collect the excess carbon atoms and thus enhanced the high temperature reliability of these contacts when operating in high temperature ambient. Considering both low specific contact resistivity and high temperature reliability, the Ni (50)/Nb (50)/4H-SiC contact can be a good candidate for harsh environment applications.
APA, Harvard, Vancouver, ISO, and other styles
33

Zanatta, A. R., D. C. Ingram, and M. E. Kordesch. "Influence of Ni concentration on the crystallization of amorphous Si films and on the development of different Ni-silicide phases." Journal of Applied Physics 116, no. 12 (September 28, 2014): 123508. http://dx.doi.org/10.1063/1.4896589.

Full text
APA, Harvard, Vancouver, ISO, and other styles
34

Nandi, K. C., D. Mukherjee, A. K. Biswas, and H. N. Acharya. "Optimization of acid concentration, temperature and particle size of magnesium silicide, obtained from rice husk, for the production of silanes." Journal of Materials Science Letters 12, no. 16 (1993): 1248–50. http://dx.doi.org/10.1007/bf00506325.

Full text
APA, Harvard, Vancouver, ISO, and other styles
35

Suvorova, Veronika, Andrey Nepapushev, Dmitrii Suvorov, Kirill Kuskov, Pavel Loginov, and Dmitry Moskovskikh. "Investigation of the Effect of Molybdenum Silicide Addition on the Oxidation Behavior of Hafnium Carbonitride." Journal of Composites Science 7, no. 1 (January 10, 2023): 25. http://dx.doi.org/10.3390/jcs7010025.

Full text
Abstract:
In this study, the oxidation stability up to 1000 °C in air of the Hf(C,N)-MoSi2 composites was explored under non-isothermal and isothermal conditions. Composites with 1, 5, 10, and 20% volume fractions were produced by low-energy ball milling and subsequent spark plasma sintering. Differential scanning calorimetry (DSC) and thermogravimetric (TG) coupled with mass spectrometry were used to reveal the staging of the oxidation process depending on the additive content. It was found that samples containing 1 and 5 vol% MoSi2 had the lowest weight gain and the best oxidation behavior. The results of this study were supported by microstructural and phase analyses of the samples after isothermal treatment in a furnace. The samples with the lowest molybdenum disilicide content had a dense and thin protective oxide film on the surface, consisting of hafnium orthosilicate and monoclinic HfO2. The increase in the amount of MoSi2 contributed to the formation of a loose and porous oxide layer due to the increase in the concentration of volatile MoO3. However, all samples exhibited higher oxidation resistance compared to the pure Hf(C,N).
APA, Harvard, Vancouver, ISO, and other styles
36

Hadi, Shaikh Mohd Hizami Shaikh Abd, Latiffah Zakaria, Siti Nordahliawate Mohamed Sidique, Murnita Mohmad Mahyudin, and Nik Mohd Izham Mohamed Nor. "The potential of soluble silicon for managing white root disease in rubber (Hevea brasiliensis)." October 2021, no. 15(10):2021 (October 2, 2021): 1346–54. http://dx.doi.org/10.21475/ajcs.21.15.10.p3343.

Full text
Abstract:
Rubber growers in Malaysia depend on soil drenching with propiconazole fungicide to control white root disease (WRD) caused by Rigidoporus microporus. The fungal infection affected the environmental ecosystem, giving rise to fungicide resistance. Recently, silicon (Si) has become an alternative to reduce and delay pathogenic fungal invasion. Therefore, the present study investigates the antifungal property of soluble silicon against R. microporus in rubber trees (Hevea brasiliensis). In vitro dose-response towards soluble silicon types, i.e., silicic acid, sodium meta-silicate, sodium silicate, and calcium silicate with different concentrations (10, 100, 500, 1000, 1500, 3000, 5000, and 8000 ppm) were determined on the Ayer Molek strain of R. microporus using the Poisoned Food Technique. Results showed that sodium meta-silicate inhibited mycelial growth (100%) at 5000 and 8000 ppm concentrations compared to other types of soluble silicon. However, silicic acid inhibited more than 50% R. microporus at a minimal concentration of 500 ppm, which could be considered the most effective antifungal from the soluble silicon group. Moreover, the higher pH values did not solely affect the inhibition rate of R. microporus. Microscopic observation showed the changes of R. microporus hyphae width grown on soluble silicon medium agar compared to the control (without Si). The Dipped Stick Inhibition Assay revealed that a higher concentration and more frequent soluble silicon application effectively inhibited R. microporus growth. Thus, this study proved that soluble silicon, especially silicic acid and sodium meta-silicate, showed promising results as antifungal agents and fungicidal in controlling white root disease
APA, Harvard, Vancouver, ISO, and other styles
37

Yang, Jian Ping. "Visualization Experimental Study on Organic-Inorganic Crosslinked Plugging System Plugging." Advanced Materials Research 868 (December 2013): 574–79. http://dx.doi.org/10.4028/www.scientific.net/amr.868.574.

Full text
Abstract:
As a compound modifying and flooding agent, the organic-inorganic crosslinked plugging system which is mixed by gel and silicide according our experimental study, formed integral crosslinked system at high temperature and varied in a broad range gelling time by regulate concentration of organic-inorganic crosslinked plugging system. It exhibits excellent thermostability, shear properties, plugging performance and washable characteristic. In this visual experiment, the organic-inorganic crosslinked plugging systems injection, waterflooding effect and sealing capacity on homogeneous reservoir and heterogeneous reservoir are all exhibited clearly. The results of experiment show that organic-inorganic crosslinked plugging system has a good injectivity and water plugging performance. Depth of injection has an important effect on water-flooding situation, further influencing the increasement of recovery factor. Before the injection of Organic-Inorganic Crosslinked Plugging System, we inject some viscosity reducer, such as foam solution which reducing the deep oil viscosity, keeping the high recovery efficiency and preventing the mistaken plugging.
APA, Harvard, Vancouver, ISO, and other styles
38

Lai, Hui Xian, Liu Qing Huang, Ming Fang, Cheng Hao Lu, Juan Chen, De Qin Yu, Jin Tang Li, Wen Hui Ma, Jian Ning Shen, and Xue Tao Luo. "Precipitation Phase and Impurities Distribution of Metallurgical Grade Silicon by Vacuum Refining Followed Slag Treatment." Advanced Materials Research 813 (September 2013): 492–96. http://dx.doi.org/10.4028/www.scientific.net/amr.813.492.

Full text
Abstract:
Precipitation phase and impurities distribution of MG-silicon were investigated by vacuum refining followed by slag treatment, and the CaO-SiO2-CaF2 system was adopted for slag treatment. Contrasting the microstructure of precipitated phase in slag treatment with and without vacuum refining pretreated, it could be concluded that the composition of precipitated phases, obtained in MG-Si after vacuum refining followed slag treatment, only consisted of Ca-rich intermetallic silicide phases such as Si-Ca-Ni, Si-Ca-Fe and main impurity phase Si-Ca. And the vacuum refining could make an increase in concentration of the impurity Ti due to its low saturated vapor pressure and silicon loss, which was in favor of the interaction with the impurity B, resulting in the formation of TiB2 that could stay at the slag. Consequently, the vacuum refining could be regarded as an effective method for facilitating the removal of B from MG-Si with slag treatment.
APA, Harvard, Vancouver, ISO, and other styles
39

Hoa, Nguyen Thi, Nguyen Quang Hoc, and Hua Xuan Dat. "Study on the Thermodynamic Properties of Thin Film of FCC Interstitial Alloy AuSi at Zero Pressure Using the Statistical Moment Method." Physics 5, no. 1 (January 6, 2023): 59–68. http://dx.doi.org/10.3390/physics5010005.

Full text
Abstract:
We built a model and proposed a theory about the thermodynamic properties of face-centered cubic (FCC) binary interstitial alloy’s thin films based on the statistical moment method and performed numerical calculations for AuSi (gold silicide). First, the statistical moment method (SMM) calculations for the thermodynamic properties of Au are compared with reported experiments and calculations that show a good agreement between the calculations in this paper and earlier studies. Additionally, the SMM calculations for thermodynamic properties of AuSi alloy films are performed, which show that the thermal expansion coefficient, the specific heat at constant volume, and the specific heat at constant pressure increases, while the isothermal elastic modulus decreases with increasing temperature and increasing interstitial atom concentration. Furthermore, when the number of layers reaches 100, the thermodynamic properties of the film are similar to those of the bulk material. The achieved theoretical results for AuSi films are novel and can be useful in designing future experiments.
APA, Harvard, Vancouver, ISO, and other styles
40

Belov, B., A. Trotsan, and O. Vlasova. "Analysis of the structural and chemical state of the duplex – system of silicates – silicides of alkaline earth metals. Message 2. Duplex - system SiO2-MgO-CaO : Si-Mg–Ca." Casting processes 151, no. 1 (March 2, 2022): 12–19. http://dx.doi.org/10.15407/plit2023.01.012.

Full text
Abstract:
A polygonal diagram of the state of the CaO-SiO2-MgO ternary system was constructed in the entire range of concentrations of solid and liquid initial components, which increases the informativeness of polygonal diagrams of the state of metallurgical systems. The obtained results of the SCS analysis of the silicate and silicide phases of the duplex system SiO2–MgO–CaO : Si–Mg–Ca are the scientific basis for the optimization of blast furnace iron refining processes with smelting slags and magnesium alloys. Keywords: polygonal diagram, magnesium slag, silicate and silicide phases of calcium, physicochemical, technological and quantum-mechanical parameters.
APA, Harvard, Vancouver, ISO, and other styles
41

Fathauer, Robert W., Thomas George, and W. Thomas Pike. "Columnar and Subsurface Silicide Growth with Novel Molecular Beam Epitaxy Techniques." MRS Proceedings 263 (1992). http://dx.doi.org/10.1557/proc-263-139.

Full text
Abstract:
ABSTRACTMolecular beam epitaxy of silicides is conventionally carried out at temperatures ≤500°C, with stoichiometric Si:metal flux ratios or deposition of pure metal. We have found novel growth modes for epitaxial CoSi2 at high temperatures coupled with Si-rich flux ratios or low deposition rates. In the first of these modes, codeposition of metal and Si at 600-800°C with excess Si leads to the formation of epitaxial silicide columns surrounded by single-crystal Si. During the initial stages of the deposition, the excess Si grows homoepitaxially in between the silicide, which forms islands, so that the lateral growth of the islands is confined. Once a template layer is established by this process, columns of silicide form as a result of selective epitaxy of silicide on silicide and Si on Si. This growth process allows nanometer control over silicide particles in three dimensions. In the second of these modes, a columnar silicide seed layer is used as a template to nucleate subsurface growth of CoSi2. With a 100 nm Si layer covering CoSi2 seeds, Co deposited at 800°C and 0.01 nm/s diffuses down to grow on the buried seeds rather than nucleating surface silicide islands. For thicker Si caps or higher deposition rates, the surface concentration of Co exceeds the critical concentration for nucleation of islands, preventing this subsurface growth mode from occurring. Using this technique, single-crystal layers of CoSi2 buried under single-crystal Si caps have been grown.
APA, Harvard, Vancouver, ISO, and other styles
42

Juang, M. H., C. I. Ou-Yang, H. C. Cheng, and C. T. Lin. "Improvement of Thin Palladium and Platinum Silicide Films On (100) Si Substrates By Incorporating Phosphorus Dopant." MRS Proceedings 648 (2000). http://dx.doi.org/10.1557/proc-648-p11.32.

Full text
Abstract:
AbstractEffects of phosphorus dopant on thin Pd and Pt silicide films on (100) Si substrates have been studied. As for the samples formed by implanting BF2 dopant into thin Pd films deposited on Si substrates and then annealing, the thermal stability of thin Pd2Si films can be improved relative to the control samples without dopant incorporation. The degree of improvement is affected by the fluorine concentration around the silicide/Si interface and thus the BF2 implant energy. On the other hand, as for the samples formed by implanting phosphorus dopant into thin Pd films and then annealing, both the thermal stability and the silicide conductivity of thin Pd2Si films can be significantly enhanced. The large improvements in the thermal stability and the silicide conductivity are nearly independent of the phosphorus implant energy, and which are primarily due to the formation of textured Pd2Si structures. In addition, the Pt silicides formed by implanting phosphorus dopant into thin Pt films and then annealing also show considerably improved thermal stability.
APA, Harvard, Vancouver, ISO, and other styles
43

Hoffman, Nathan J., Roger Ketcheson, Daniel Stambaugh, Laura Safran, Richard Campos, Jerry Mase, and Daniel Codi. "Influence of Titanium Nitride Cap Layer Thickness on the Oxygen Sensitivity of Cobalt Films During Silicide Processing." MRS Proceedings 1108 (2008). http://dx.doi.org/10.1557/proc-1108-a09-07.

Full text
Abstract:
AbstractTitanium and cobalt silicides have long been used as gate electrode materials for very large-scale integrations (VLSI) circuits. As scaling has pushed the industry to quarter micron technologies and below, cobalt has become the material of choice for forming silicides, since it can maintain its low resistivity on much narrower line widths. Oxidation of the cobalt film is a concern during silicide processing, as the cobalt oxide will not be removed during the cobalt etch step. To protect against the oxidation of the cobalt layer during the silicidation process, the reaction is conducted underneath a titanium nitride (TiN) capping layer. Variations in the TiN capping layer thickness were investigated to determine the affect on oxygen sensitivity of the cobalt silicide process. A strong correlation was found to the thickness of the TiN-capping layer, to the oxygen concentration required to oxidize cobalt during the silicidation process.
APA, Harvard, Vancouver, ISO, and other styles
44

Bewlay, B. P., P. W. Whiting, A. W. Davis, and C. L. Briant. "Creep Mechanisms in Niobium-Silicide Based In-Situ Composites." MRS Proceedings 552 (1998). http://dx.doi.org/10.1557/proc-552-kk6.11.1.

Full text
Abstract:
ABSTRACTThis paper will discuss the relationship between microstructure and creep behavior in hightemperature niobium-silicide based in-situ composites. The creep behavior of composites generated from binary Nb-Si alloys, and higher order alloys containing Mo, Hf and Ti additions, will be described. In-situ composites were tested in compression at temperatures up to 1200°C and stress levels in the range 70 to 280MPa. It was found that the Hf concentration can be increased to 7.5 with little increase in creep rate, over that for the binary Nb3Si-Nb composite, but at higher concentrations the creep rate is increased at stress levels higher than 21OMPa. At stresses less than 21OMPa the Ti concentration can be increased to 21 without a detrimental effect on creep performance, but at higher concentrations there is a substantial increase in the creep rate.
APA, Harvard, Vancouver, ISO, and other styles
45

Bewlay, B. P., M. R. Jackson, and H. A. Lipsitt. "Ti-Modified Niobium-Silicide Based Directionally Solidified in-situ Composites." MRS Proceedings 460 (1996). http://dx.doi.org/10.1557/proc-460-715.

Full text
Abstract:
ABSTRACTThis paper examines microstructure-property relationships in high-temperature directionally solidified (DS) in-situ composites based on Nb silicides, such as Nb3Si and Nb5Si3. These in-situ composites are based on the Nb3Si-Nb binary eutectic, and are alloyed with Ti. They were prepared using cold crucible Czochralski crystal growth. Ternary Nb-Ti-Si alloys with Ti concentrations from 9 to 45%, and Si concentrations from 10 to 25%, were directionally solidified to generate aligned two- and three-phase composites containing a Nb solid solution with Nb3Si and Nb5Si3 silicides. Fracture toughness values generally greater than 10 MPa√m were measured in these composites. For a given Si concentration, the fracture toughness of the Ti-containing composites was increased ∼ 6 MPa√m over that of the binary alloy composites. The effects of Si concentration, and a range of Nb:Ti ratios, on microstructure, phase equilibria, and fracture toughness were examined.
APA, Harvard, Vancouver, ISO, and other styles
46

Herner, S. B., V. Krishnamoorthy, H. G. Robinson, and K. S. Jones. "The Effect of Titanium Silicidation on Type II End-of-Range Dislocation Loops." MRS Proceedings 337 (1994). http://dx.doi.org/10.1557/proc-337-469.

Full text
Abstract:
ABSTRACTThe shrinkage in type II end-of-range dislocation loops was used to determine the relative flux of vacancies from the silicidation of titanium on silicon (100) wafers. A low temperature anneal (700°C 20 min.) in a forming gas ambient formed the initial silicide (approximately 750 Å thick), and several higher temperature anneals with the silicide intact and etched off followed to study the diffusion of the vacancies. The concentration of vacancies captured by the loops after a 900°C 60 minute post silicidation anneal was approximately 7.8 x 1013 /cm2 while the concentration after a 700°C 60 minute post silicidation anneal was only 9 x 1012 /cm2. Removal of the silicide prior to the high temperature post silicidation anneals resulted in fewer vacancies captured by the loops.
APA, Harvard, Vancouver, ISO, and other styles
47

Hong, Q. Z., and J. M. E. Harper. "Temperature Dependence of Ar Sputtering of CoSi2 Thin Films on Si and SiO2." MRS Proceedings 235 (1991). http://dx.doi.org/10.1557/proc-235-319.

Full text
Abstract:
ABSTRACTThe temperature dependence of 300 eV argon ion sputtering of CoSi2 thin films in the range 50–600°C has been investigated. At temperatures above 400°C, the etch rate of CoSi2 on Si is significantly reduced, while the underlying Si reacts with the Co atoms diffusing from the silicide surface. As a result, the silicide layer effectively moves into the substrate during Ar bombardment. During sputtering of CoSi2 on Sio2, the thickness of the silicide layer decreases almost linearly with bombarding time until all the silicide is removed. Similar behavior is observed in low temperature sputtering of CoSi2 on (100) Si and evaporated Si. However, at elevated temperatures (400°C< <600°C), sputtering of CoSi2 on Si undergoes two consecutive stages. During the initial stage, the thickness of the silicide layer decreases at the same rate as that of the silicide on SiO2, and is accompanied by an enrichment in Co concentration near the surface. During the second stage, the etch rate of the silicide is reduced to only one third of the rate during the initial stage.
APA, Harvard, Vancouver, ISO, and other styles
48

Galkin, Nickolay G., Dmitrii L. Goroshko, Alexander S. Gouralnik, Sergei A. Dotsenko, and Andrei N. Boulatov. "Formation and Electric Properties of Disordered Yb Layers on Si(111)7×7 Surface." MRS Proceedings 770 (2003). http://dx.doi.org/10.1557/proc-770-i3.7.

Full text
Abstract:
AbstractInterface formation in Yb/Si(111) system has been investigated by AES and EELS spectroscopy and in situ Hall measurements at room temperature. It was found that interface formation process may be divided into five stages: 1) two-dimensional growth of Yb, 2) intermixing and formation of two-dimensional Yb silicide, 3) formation of 3D silicide islands, 4) growth of Yb on 3D silicide islands, 5) coalescence of 3D Yb – Yb silicide islands and formation of continuos Yb film. We attribute the observed character of conductivity in Yb/Si(111) system to the evolution of morphological and electrical properties of the growing Yb layer (2D Yb, silicide, metal) rather than to the changes within the space charge layer under the surface. Some amplitude oscillations have been observed in sheet conductivity, hole mobility and surface hole concentration within the coverage range below 6 ML where formation of a continuos Yb silicide film completes. Conductivity oscillations are explained by transition from semiconductor-type conductivity at the first growth stages (two-dimensional Yb growth) to metal-like conductivity of 2D and 3D Yb silicide films.
APA, Harvard, Vancouver, ISO, and other styles
49

Sitaram, A. R., and S. P. Murarka. "Cobalt Silicide Formation on Polysilicon: Dopant Effects on Reaction Kinetics and Silicide Properties." MRS Proceedings 181 (1990). http://dx.doi.org/10.1557/proc-181-185.

Full text
Abstract:
ABSTRACTThe concept of using cobalt disilicide as a self-aligned metallization scheme for gate/interconnection and contact formation is gaining wide acceptance. The silicide formation on the gate will require a Co-metal film interaction with the underlying polysilicon that may be doped heavily. We have investigated the silicide formation kinetics during this reaction. The effect of different dopants (B,P, and As) and their concentration on the Co-Si interaction and the dopant redistribution during such reactions were investigated. The results from these studies will be presented and discussed.
APA, Harvard, Vancouver, ISO, and other styles
50

Sitaram, A. R., and S. P. Murarka. "Cobalt Silicide Formation on Polysilicon: Dopant Effects on Reaction Kinetics and Silicide Properties." MRS Proceedings 182 (1990). http://dx.doi.org/10.1557/proc-182-83.

Full text
Abstract:
AbstractThe concept of using cobalt disilicide as a self-aligned metallization scheme for gate/interconnection and contact formation is gaining wide acceptance. The silicide formation on the gate will require a Co-metal film interaction with the underlying polysilicon that may be doped heavily. We have investigated the silicide formation kinetics during this reaction. The effect of different dopants (B,P, and As) and their concentration on the Co-Si interaction and the dopant redistribution during such reactions were investigated. The results from these studies will be presented and discussed.
APA, Harvard, Vancouver, ISO, and other styles
We offer discounts on all premium plans for authors whose works are included in thematic literature selections. Contact us to get a unique promo code!

To the bibliography